数字电路静态时序分析基础四


文章来源于课程.

1. Setup timing check

1.1 FF to FF Path

在这里插入图片描述
在这里插入图片描述
建立时间检查

Tlaunch + Tck2q + Tdp < Tcycle - Tsetup - Tcapture

  • 起点:UFF0->UFF1
  • Path Group:按照路径终点划分
  • path type : max 等式左边用最大
  • Point:路径上的点; incr:每个点自己的延时增量 ;Path: 路径累计延迟
  • data arrival time
    在这里插入图片描述
  • capture 时序 data required time
  • slack >= 0 满足时序要求
    在这里插入图片描述

1.2 Input to FF Path

  • 已经约束input_delay
  • 建立虚拟时钟
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述

1.3 FF to Output Path

set_load
在这里插入图片描述
在这里插入图片描述
没有setup_delay
在这里插入图片描述
在这里插入图片描述

1.4 Input to Output Path

T - input_delay - output_delay

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

2. hold timing check

  • hold time 与时钟无关
    在这里插入图片描述

2.1 FF to FF

时钟的同一个边沿
在这里插入图片描述
Tlaunch+Tck2q+Tdp > Tcapture + Thold
下一个数据来之前,当前数据不能变
用最小,即下一个数据最快到达的时间
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
EDA工具内部定义,hold slack>0

2.2 Input to FF Path

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

2.3 FF to Output Path

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

2.4 Input to output Path

在这里插入图片描述
在这里插入图片描述

在这里插入图片描述

  • 1
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值