FPGA实现inout的两种方法

  • 第一种就是使用assign语句
    这种会根据代码逻辑进行综合,也会综合成三态门,但不一定是使用IOBUF这种资源。
assign  a = in_or_oout ? 1'dz : out;
  • 第二种就是使用原语
    以xilinx的IOBUF为例,OBUFT为一个三态门,T是控制端,当信号作为输出,信号走向为红色路线,当作为输入,OBUFT关断,信号走向为蓝色路线。
IOBUF IOBUF(
.I(要输出的信号),
.O(外部输入的信号),
.T(三态控制),
.IO(外部引脚)
);
//综合后的电路如下图所示

在这里插入图片描述

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值