第24篇:异步复位4位寄存器

Q:本期开始我们设计数字系统中常用的存储逻辑部件,首先实现具有异步复位功能的4位寄存器。

A:由N个触发器组成的电路可以存储N位二进制数据,该电路称为寄存器。异步复位4位寄存器由四个D触发器组成,并且具有异步复位功能。异步复位4位寄存器逻辑电路图:

异步复位4位寄存器Verilog代码:

使用DE2-115开发板的SW[3:0]作为寄存器的数据输入,KEY[1]作为CLK输入,KEY[0]作为异步清零信号输入,LEDR[3:0]显示输出的Q[3:0]值。ModelSim仿真结果:

  • 22
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值