HDLbits--Exams/2014 q3fsm

module top_module (
    input clk,
    input reset,   // Synchronous reset
    input s,
    input w,
    output z
);
    parameter a=0,b=1;
    reg state,next_state;
    
    always@(*)
        begin
            case(state)
                a:
                    next_state<=s?b:a;
                b:
                    next_state<=b;
            endcase
        end
    
    always@(posedge clk)
        begin
            if(reset)
                state<=a;
            else
                state<=next_state;
        end
    
    parameter b1=1,b2=2,b3=3;
    reg [1:0] statew,next;
    reg [2:0] temp;
    always@(*)
        begin
            if(state==b)
                begin
                    case(statew)
                        b1:
                            next<=b2;
                        b2:
                            next<=b3;
                        b3:
                            next<=b1;
                        default:
                            next<=b1;
                    endcase
                end
        end
    
    always@(posedge clk)
        begin
            if(statew==b1)
                temp[0]<=w;
            if(statew==b2)
                temp[1]<=w;
            if(statew==b3)
                temp[2]<=w;
        end
    
    always@(posedge clk)
        begin
            z<=(state==b3)&&(temp==3'b110||temp==3'b011||temp==3'b101);
        end
            
            
                
            
            
            
            
endmodule

正确答案:

module top_module (
    input clk,
    input reset,   // Synchronous reset
    input s,
    input w,
    output z
);
    parameter A = 0,B = 1,C = 2,D = 3;
    reg [1:0] count;
    reg [2:0] state,next_state;
   always @ (*)
        case (state)
            A: next_state = s?B:A;
            B: next_state = C;
            C: next_state = D;
            D: next_state = B;
            default next_state = A;
        endcase
    always @ (posedge clk)
        if (reset)
            state <= A;
    	else state <= next_state;
    always @ (posedge clk)
        case (state)
            B:count <= w ;
            C:count <= w ? count+1:count;
            D:begin count <= w ? count+1:count;  
                // count <= 1'b0;
            end
            default count <= 0;
        endcase
    assign z = ((state==B) && (count == 2) );   
            
            
                
            
            
            
            
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值