DC综合基本概念:set_max_transition, set_clock_transition

1.set_max_transition

设置maximum transition time 对指定的clocks, ports, or designs. tool 确保 net 的transition time 小于指定的值。

set_max_transiton

       transition_value

       [-data_path]

       [-clock_path]

       object_name

2.set_clock_transition

sets the transiton time at the clock pins of all sequential devices clocked by the specified ideal clocks.设置由指定的理想时钟计时的所有顺序设备的时钟引脚上的传输时间.

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值