Quartus II 实现移位相加型乘法器

期末裸考系列之 移位相加型乘法器

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity mult4a is
generic ( s : integer := 4);
	port ( r : out std_logic_vector(2*s downto 1);
		  a,b : in std_logic_vector(s downto 1));
end entity mult4a;
architecture one of mult4a is
	signal a0 : std_logic_vector(2*s downto 1);
begin 
	a0 <= conv_std_logic_vector(0,s) & a;
process(a,b)
	variable r1 : std_logic_vector(2*s downto 1);
	begin 
		r1 := (others => '0');
		for i in 1 to s loop
			if(b(i) = '1') then 
				r1 := r1 + to_stdlogicvector(to_bitvector(a0) sll (i-1));
		   end if;
		end loop;
		r <= r1;
	end process;
end architecture one;
		

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值