第三次modelsim20.1的联合仿真实验

本次为大家带来两个实验
第一个是modelsim20.1的联合仿真的实验

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

module fulladd(sum,c_out,a,b,c_in);

output sum,c_out;

input a,b,c_in;

wire s1,c1,c2;

xor (s1,a,b);

and (c1,a,b);

xor (sum,s1,c_in);

and (c2,s1,c_in);

or (c_out,c2,c1);

endmodule
第二个文件代码
module test;

wire sum,c_out;

reg a,b,c_in;

fulladd fadd(sum,c_out,a,b,c_in);

/*

initial

begin

#15 force fadd.sum=a&b&c_in;

#20 release fadd.sum;



#10 $stop;

end

*/

initial

begin

a=0;b=0;c_in=0;

#10 a=0;b=0;c_in=1;

#10 a=0;b=1;c_in=0;

#10 a=0;b=1;c_in=1;

#10 a=1;b=0;c_in=0;

#10 a=1;b=0;c_in=1;

#10 a=1;b=1;c_in=0;

#10 a=1;b=1;c_in=1;

#10 $stop;

end

endmodule

第二个实验用modelsim10.7d的联合仿真实验
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

module fulladd(S,Cout,Cin,A,B);
output S,Cout;
input Cin,A,B;
wire and1,and2,and3,and4;
xor (S,Cin,A,B);
and (and1,Cin,A);
and (and2,A,B);
and (and3,Cin,B);
or (Cout,and1,and2,and3);
endmodule
第二部分
module add4a(S3,S2,S1,S0,COUT,CIN,X3,X2,X1,X0,Y3,Y2,Y1,Y0);
output COUT,S3,S2,S1,S0;
input CIN, X3,X2,X1,X0,Y3,Y2,Y1,Y0;
wire c0,c1,c2;
fulladd add0(.S(S0), .Cout(c0), .Cin(CIN), .A(X0), .B(Y0));
fulladd add1(.S(S1), .Cout(c1), .Cin(c0), .A(X1), .B(Y1));
fulladd add2(.S(S2), .Cout(c2), .Cin(c1), .A(X2), .B(Y2));
fulladd add3(.S(S3), .Cout(COUT), .Cin(c2), .A(X3), .B(Y3));
endmodule
module add4(S,COUT,CIN,X,Y);//ËÄλȫ¼ÓÆ÷
output COUT;
output [3:0] S;
input CIN;
input [3:0]X,Y;
wire c0,c1,c2;
fulladd add0(.S(S[0]), .Cout(c0), .Cin(CIN), .A(X[0]), .B(Y[0]));
fulladd add1(.S(S[1]), .Cout(c1), .Cin(c0), .A(X[1]), .B(Y[1]));
fulladd add2(.S(S[2]), .Cout(c2), .Cin(c1), .A(X[2]), .B(Y[2]));
fulladd add3(.S(S[3]), .Cout(COUT), .Cin(c2), .A(X[3]), .B(Y[3]));
endmodule
module tadd4;
reg [3:0] x,y;
reg cin;
wire [3:0] s;
wire cout;
add4 myadd4(.S(s),.COUT(cout),.CIN(cin),.X(x),.Y(y));
initial
begin
cin<=0;x<=11;y<=2;
#10 cin<=0;x<=9;y<=6;
#10 cin<=0;x<=9;y<=7;
#10 cin<=1;x<=11;y<=2;
#10 cin<=1;x<=9;y<=6;
#10 cin<=1;x<=9;y<=7;
#10 $stop;
end
endmodule

三、软件下载地址
quartus ii
链接 :https://pan.baidu.com/s/1ZT0ovNAAZ_j3jTGzVCYfbQ
提取码:5jf2
modusim
链接:https://pan.baidu.com/s/1bkD2-5Gwl1HB6y9hkUF14A
提取码:540y

感谢大家的观看,我们下次见

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值