MUX2:1与MUX4:1的代码(源自于NV-ASIC Physical design笔试题目)

MUX2:1实现二选一选择器功能

module MUX2_1(
       input x0,
       input x1,
       input sel,
       output y0,
       reg y0_out
);
always(*)
  begin
     case(sel)
     1'b0:y0_out=x0;
     1'b1:y0_out=x1;
     default:y0_out=x0;
     endcase
 end
assign y0=y0_out;
endmodule

MUX4:1采用二选一模块实现四选一选择器(以下为自己设计,如有不对请多多指正)

module MUX4_1(
       input a0,
       input a1,
       input a2,
       input a3,
       input a4,
       input a5,
       input sel0,
       input sel1,
       input sel2,
       output b0,
       output b1,
       output b2,
       output b_out
);
MUX2_1 first(.x0(a0),.x1(a1),.sel(sel0),.y0(b0));
MUX2_1 second(.x0(a2),.x1(a3),.sel(sel1),.y0(b1));
assign sel2=sel1+sel0;
MUX2_1 third(.x0(b0),.x1(b1),.sel(sel2),.y0(b2));
assign b_out=b2;
endmodule

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值