verilog学习笔记(1) vivado 仿真小例子

        使用verilog 逻辑设计,有一个重要的环节是仿真。要实现仿真,需要两个verilog 模块,一个是需要仿真的模块,另一个是用于测试的模块,为被测试模块的某些输入提供设置值和注入数据或者时钟。

一个反向模块invert

module invert(
input in,
output out
);
assign out = ~in;
endmodule

测试模块(testbench.v)

module testbench(
);
// testbench 时钟信号
reg clk = 0;
always #10 clk <= ~clk;
// 输出信号
wire out;
// 调用invert模块
invert myinvert(clk, out);
endmodule

仿真过程

1 正常方式建立一个vivado 新项目

2 导入源代码,新建一个invert 模块,填入上面invert.v 的代码

3 导入源代码,新建一个testbench模块,填入上面testbench.v 的代码

4 run simulation

结果

  • 2
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值