quartus与modelism联合仿真

本文详细介绍了如何使用Quartus和Modelsim进行联合仿真。首先在Quartus中新建工程并选择Modelsim作为仿真器,接着编写Verilog代码实现简单的加法器。然后通过StartTextBenchWriter进行编译,为输入信号a和b赋值,并设置Modelsim路径。最后运行RLTSimulation进行仿真操作。
摘要由CSDN通过智能技术生成

Quartus和modelsim联合仿真
一、实验目的
Quartus+modelsim的联合仿真
二、实验过程
1、打开quartus新建一个工程
2、在选择所保存的文件夹后并进行芯片选择Simulation中选择Modelsim保存完成
3、打开Verilog,将我们写的代码输入并保存在自己刚才保存的文件夹中
module test(
input wire [7:0] a,
input wire [7:0] b,
output reg [8:0] out
);
always @ ()begin
out = a+b;
end
endmodule
Quartus和modelsim联合仿真
一、实验目的
Quartus+modelsim的联合仿真
二、实验过程
1、打开quartus新建一个工程
2、在选择所保存的文件夹后并进行芯片选择Simulation中选择Modelsim保存完成
3、打开Verilog,将我们写的代码输入并保存在自己刚才保存的文件夹中
module test(
input wire [7:0] a,
input wire [7:0] b,
output reg [8:0] out
);
always @ (
)begin
out = a+b;
end
endmodule
在这里插入图片描述

4、选择processing中的start中的Start Text Bench Writer
并进行编译,找到自动生成的text benc

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值