hdlbits系列verilog解答(加减法器)-28


一、问题描述

可以通过将其中一个输入变为负来从加法器构建加法器-减法器,这相当于将其输入反相然后加 1。最终结果是一个可以执行两个操作的电路:(a + b + 0) 和 (a + ~b + 1)。如果您想更详细地解释该电路的工作原理,请参阅维基百科。
加减法器维基百科

在下面构建加法器-减法器。

您将获得一个 16 位加法器模块,您需要实例化该模块两次:

module add16 ( input[15:0] a, input[15:0] b, input cin, output[15:0] sum, output cout );

使用 32 位宽的 XOR异或 门在 sub为1时 反转 b 输入。(这也可以看作是 b[31:0] 与sub异或后复制 32 次。请参阅复制运算符。同时将 sub 输入连接到加法器的进位。

加减法器


二、verilog源码

module top_module(
    input [
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值