Vivado创建工程步骤

第一步:创建工程文件夹,并创建对应四个子目录doc、prj、rtl、sim

第二步:打开Vivado创建工程,并将工程保存在第一步创建好的子目录prj下(尽量名称与工程文件夹名字一样)(路径必须全英文)

此处不需要勾选下面的选项,因为我们已经在第一步管理好了文档。

第三步:选择工程类型

这个部分根据自己需求进行选择,一般新手练习时,默认使用第一个(本文章也是基于该类型)。

第一个子选项代表不需要定义源码,若已经提前编写好了代码,则此处不需要勾选。

第二个子选项代表关联一个Vitis开发平台,一般不涉及所以也不勾选。

如果未勾选第一个子选项,在点击Next之后会出现如下界面,即提示导入源码

此时只需要点击"Add Files"将提前保存在子目录rtl中的源码导入即可

第四步:添加约束(包括引脚约束和时序约束)

如果已经提前编写好了可以直接导入,也可以进入工程后再进行约束【在左上角的位置点击I/O Planning进行引脚的约束(即将代码的端口与开发板的端口一一对应)】

注意,此处不仅要确保端口一一对应,还需要保证Bank的电压值以及类型一一对应,不清楚的可以查看开发板原理手册。也可以在Constraint新建一个XDC文件,然后打开开发板配套资料的引脚约束XDC文件,直接复制粘贴对应约束代码即可。

第五步:选择开发板

此处应该根据自己的开发板类型进行选择,不清楚的可以去问一下淘宝卖家

这样,一个vivado的工程就创建好了。




Vivado在线调试工具ILA

通过片内逻辑分析仪(即片内的本身资源充当逻辑分析的作用,因此可以定制化,但是对于占用资源较多的工程,会导致出现资源不足以支撑片内逻辑分析的情况)

IP核

IP核(Intellectual Property core,知识产权核心)是一种可重用的硬件设计模块,通常是用硬件描述语言(如Verilog或VHDL)编写的。这些IP核是由硬件设计人员或公司开发,并经过验证和优化,以在数字系统中执行特定功能。

举例来说,IP核相当于一种模块化的工具,可以是一种用于实现特定数学运算、通信协议、图像处理等功能的硬件模块。我们可以直接在设计中使用这些IP核,而不必深入了解其内部实现。

  • 8
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值