Verilog专题(三)如何在组合逻辑中避免latch的产生

本文探讨了在Verilog中如何防止在组合逻辑中产生锁存器,详细解释了锁存器产生的原因,并提供了避免锁存器产生的方法,包括正确使用if-else、case-default结构,以及在always@()块中完整列出敏感信号。同时,介绍了通过给信号赋初始值来消除锁存器的一种技巧。
摘要由CSDN通过智能技术生成

前言

    对于verilog的学习,这里推荐一个比较好的实践网站HDLBits:https://hdlbits.01xz.net/wiki/Main_Page

    本专题记录一些我觉得有价值的题目,希望通过这些题目可以对verilog更加熟练。

    第三个专题主要讨论一个重要的问题:如何在组合逻辑中避免产生锁存器。

 

1、为什么会产生latch?

    一般语法正确的代码不一定会导致电路合理,如果你只想综合出纯组合逻辑的电路,但是有时候会综合出组合逻辑+触发器or锁存器。通常的原因是:“在您指定的情况以外的情况下会发生什么?”, Verilog一般会保持输出不变,这种“保持输出不变”的行为意味着需要记住当前状态,从而产生一个锁存器,组合逻辑(例如逻辑门)无法记住任何状态。这是组合逻辑中产生latch的主要原因。

 

2、如何避免产生latch?

    (1)在组合逻辑中,容易综合出锁存器的语句是if和case,在if-else和case中没有else和default将会导致产生latch,如下面的代码和rtl网表所示。 

 

  • 16
    点赞
  • 57
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值