学习笔记:FPGA之三人表决器

                                           

                                                                  三人表决器的逻辑功能框架图 

verilog代码:

module A4_Vote4
{
    //输入端口
    KEY1,KEY2,KEY3,
    //输出端口
    LED1,LED2,LED3,SEG_DATA,SEG_EN
};
input KEY1,KEY2,KEY3;//按键
output LED1,LED2,LED3;//led
output [5:0] SEG_EN;//数码管使能管脚
output reg [6:0] SEG_DATA;//数码管数据管脚

parameter SEG_NUM0=7'h3f,//数字0
          SEG_NUM1=7'h06,//数字1
          SEG_NUM2=7'h5b,//数字2
          SEG_NUM3=7'h4f;//数字3

always @(*)
begin
    case({KEY3,KEY2,KEY1})
        3'b000:SEG_DATA=SEG_NUM0;
        3'b001:SEG_DATA=SEG_NUM1;
        3'b010:SEG_DATA=SEG_NUM1;
        3'b011:SEG_DATA=SEG_NUM2;
        3'b100:SEG_DATA=SEG_NUM1;
        3'b101:SEG_DATA=SEG_NUM2;
        3'b110:SEG_DATA=SEG_NUM2;
        3'b111:SEG_DATA=SEG_NUM3;
    default:SEG_DATA=SEG_NUM0;
    endcase
end

assign LED1=!KEY1;
assign LED2=!KEY2;
assign LED3=!KEY3;
assign SEG_EN=6'b011111;

endmodule
        

 

  • 4
    点赞
  • 47
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值