Verilog按比例控制产生随机数

产生0~100之间的随机数,其中小于50的数的比例为80%。

`timescale 1ns / 1ps
module Test1533;
reg [3:0] range;
//reg [9:0] data1;
reg [9:0] data;
reg big;

initial begin
   repeat(100)
      begin
      #10;
      range={$random}%10;
      
      if(range<8)
         big=1;
      else
         big=0;
      if(big)
         data={$random}%50;
      else
         data=({$random}%50)+50;

      end
end
endmodule

这个代码是仿真代码,只能应用在仿真模块中,因此没有独立的设计代码。

仿真波形
在这里插入图片描述
从代码中可知,只有当range小于8的时候,才会产生0~50范围的数字,但是range的总体取值为0到10(实际能取0到9),因此可以达到80%的概率。至于如何用random产生非负数字这里不作讲解。

  • 5
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值