verilog实现任意位随机数产生



前言

verilog实现任意位随机数产生


一、程序设计

module rondomeight(
    input I_clk,
    input I_rst_n,
    input I_en,

    output [2:0] eight
    );

    wire O_pn_lastmsb;
    RANGING_sequence u1(
	                .I_rst_n(I_rst_n)			, // Asynchronous reset
	                .I_clk	(I_clk)		, // the cycle clock for PN sequence generation, posedge valid
	                .I_en	(I_en)		,	
	
	                //.O_current_state	=32'd0, // depends on the input value width
	                .O_pn_lastmsb	(O_pn_lastmsb)  // last msb means the msb of last state
	);

    reg [2:0] rondomega;
    always@(posedge I_clk or negedge I_rst_n)begin
        if(!I_rst_n)begin
            rondomega <= 3'd0;
        end
        else if(I_en)begin
            rondomega <= {rondomega[1:0],O_pn_lastmsb};
        end
        else begin
            rondomega <= rondomega;
        end
    end

    assign eight = rondomega;

endmodule

二、仿真图示

在这里插入图片描述

总结

可以生成任意位随机数,以上选择的是8位

  • 5
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值