全加器 Verilog-modelsim

##tb.v (tb)

`timescale 1ns/100ps	
module tb;          
  reg ain, bin;   
  reg cin;
  initial begin
  	           ain = 1'b0;
      forever #5 ain = ~ain;	
  end
  initial begin								 
  	             bin = 1'b0;   
     forever #2.5 bin = ~bin;	 
       end   
  initial begin
  	             cin = 1'b0;   
     forever #20  cin = ~cin;
  end 												 
full_adder							
    dut
    (  .ain   (ain  ),  .bin   (bin  ), .cin    (cin  ), .sum  (sum  ), .cout  (cout )  );
always @ (cout)
   begin						
     $display("ain = %x", ain);	
     $display("bin = %x", bin);	
     $display("cin = %x", cin);	
  end																											
endmodule												

##test.do (sim)


# step 1
vlib work

# step 2
vlog ../rtl/fulladder.v
vlog ../tb/tb.v

#step 3
vsim tb


##fulladder.v (rtl)


module full_adder
( 
		input  wire ain,bin,
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值