FPGA project : half_adder

 

 

module half_adder(
    input        wire       data_1      ,
    input        wire       data_2      ,

    output       reg        count       ,
    output       reg        sum      
);

    always @(*) begin
        case ({data_1, data_2})
            2'b00 : begin
                count = 0 ;
            end
            2'b01 : begin
                count = 0 ;
            end
            2'b10 : begin
                count = 0 ;
            end
            2'b11 : begin
                count = 1 ;
            end
            default: count = 1'bz ;
        endcase
    end

    always @(*) begin
        case ({data_1, data_2})
            2'b00 : begin
                sum = 0 ;
            end
            2'b01 : begin
                sum = 1 ;
            end
            2'b10 : begin
                sum = 1 ;
            end
            2'b11 : begin
                sum = 0 ;
            end
            default: sum = 1'bz ;
        endcase
    end

endmodule
`timescale 1ns/1ps
module test();
    reg                 data_1_tb     ;
    reg                 data_2_tb     ;
    wire                count_tb      ;
    wire                sum_tb        ;

half_adder half_adder_insert(
    .data_1             ( data_1_tb ) ,
    .data_2             ( data_2_tb ) ,

    .count              ( count_tb  ) ,
    .sum                ( sum_tb    )      
);

    parameter CYCLE = 20 ;

    initial begin
        data_1_tb <= 1'b1 ;
        data_2_tb <= 1'b1 ;
        #( CYCLE * 1000 ) ;
        $stop ;
    end

    always #( CYCLE ) data_1_tb <= ($random) % 2 ;
    always #( CYCLE ) data_2_tb <= ($random) % 2 ;

    initial begin
        $timeformat(-9,0,"ns",6) ;
        $monitor("@time %t:data_1_tb=%b,data_2_tb=%b,count_tb=%b,sum_tb=%b",$time,data_1_tb,data_2_tb,count_tb,sum_tb) ; // 监测函数
    end

endmodule

 

module half_adder(
    input        wire       data_1      ,
    input        wire       data_2      ,
    output       wire       count       ,
    output       wire       sum      
);

    assign { count, sum } = data_1 + data_2 ;
    
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值