Verilog入门——4选1多路选择器及条件语句表述方式

Verilog入门——4选1多路选择器及条件语句表述方式

module MUX41a (A,B,C,D,S1,S0,Y);	//定义模型名字为MUX41a,将输入、输出端口信号都放入端口表
	input A,B,C,D,S1,S0;			//定义输入端口信号A,B,C,D,S1,S0
	input Y;						//定义输出端口信号Y
	reg[1:0] SEL;					//定义寄存器变量SEL[0]、SEL[1]
	reg Y;							//将Y定义为寄存器变量
	always@(A,B,C,D,SEL)			//always引导顺序语句,并且将敏感信号放入端口表
		begin						//块语句开始
			SEL = {S1,S0};			//把 s1,s0 并位为 2元素矢量变量 SEL[1:0]
			if (SEL == 0)  Y = A;	//当SEL == 0为真时,Y = A
	else	if (SEL == 1)  Y = B;	//当SEL == 1为真时,Y = B
	else	if (SEL == 2)  Y = C;	//当SEL == 2为真时,Y = C
	else	               Y = D;	//当SEL == 3为真时,Y = D
		end							//块语句结束
endmodule							//结束模块
  • 6
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

吴不言不言

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值