【校招Verilog进阶挑战】 时序逻辑篇:VL16 占空比50%的奇数分频

该博客详细介绍了如何使用Verilog设计一个7分频时钟分频器,该分频器在复位(rst)低电平时工作,并确保输出时钟(clk_out7)具有50%的占空比。内容包括问题描述、波形示意图及解题思路。
摘要由CSDN通过智能技术生成

文章目录

题目

描述

设计一个同时输出7分频的时钟分频器,占空比要求为50%

注意rst为低电平复位

信号示意图:

在这里插入图片描述

波形示意图:

在这里插入图片描述

输入描述
输入信号 clk_in rst
类型 wire

输出描述
输出信号 clk_out7
类型 wire

题解

在这里插入图片描述

`timescale 1ns
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

ReCclay

如果觉得不错,不妨请我喝杯咖啡

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值