【 FPGA 】玩玩带有异步复位,同步使能的D触发器的两种实现方式

第一种,正儿八经:

带异步复位,同步使能的D触发器:

module dff_reset_en_1seg(
    input clk,
    input reset,
    input en,
    input d,
    output reg q
    );
    always @(posedge clk, posedge reset)
    begin
        if(reset)
            q <= 1'b0;
        else if(en)
            q <= d;
    end
endmodule

行为测试:

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2019/01/03 10:39:16
// Design Name: 
// Module Name: dff_tb
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module dff_tb;
    reg reset;
    reg en;
    reg CLK;
    reg d;
    wire q;
    
    
   // Note: CLK must be 
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

李锐博恩

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值