verilog使用可综合的for语句

今天刷题,偶然接触到generate用法,小结如下:

generate可以用来构成循环语句,但是必须要定义genvar作为循环变量

结尾必须接上endgenerate

generate定义for循环时,必须要加类似如下形式

for(i=0;i<n;i=i+1)
begin: label
    ...
    ...
end

label是你自己定义的标签,可以理解为c++中的实例化哈哈哈,我瞎说的

那么这样的语句是可综合的,就拿下面这题来说

 

verilog代码如下:

看结果

注意这里是16进制哈是不是很方便完成位交换了呢。。。

  • 0
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值