FPGA之触发器

触发器是最基本的时序元件,其中D触发器是应用最广范的。

边沿型D触发器包含时钟信号端口(图中包含三角型标记的端口)、复位端口CLR、置为端口PRE、使能端口ENA、输入数据端口D、输出端口Q。

D触发器执行过程:首先,判断复位端口是否连接信号,如果连接信号,在编写代码时要对电路进行复位操作,复位结果输出置0;其次,判断置位端口是否连接信号,如果连接信号,在编写代码时,要进行置位操作;最后,判断使能端口是否连接信号,如果有,根据情况进行使能。如果为真,上升或下降沿到来时,进行数据传输。

module DFF(d,clk,q)
   input  d,clk;
   output q;
   reg    q;
always @(posedge clk)//上升沿
       q=d;
endmodule

上述是经典的D触发器程序,遇见这样的always块就是D触发器的应用。加上复位、置位、使能的话,用if语句。

异步复位与置位:触发器的复位和置位与时钟信号无关。

always@(posedge clk or negedge rst or posedge set)
  begin
      if(~rst)
          q=0;
      else if(set)
          q=1;
      else
          q=d;
   end

同步复位与置位:指在硬件电路中,复位与置位信号只有在时钟上升沿或下降沿到来的时候才进行复位与置位操作。其他时间无效。

always@(posedge clk )
  begin
      if(~rst)
          q=0;
      else if(set)
          q=1;
      else
          q=d;
   end

D触发器是1位存储器,一组D触发器集合在一起存储多个二进制位,就是寄存器。

  • 4
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值