vivado xdc约束基础知识12:VIVADO时序分析练习(synthesis及implementation时序优化策略选择)

本文介绍了在VIVADO中进行时序分析的实践,通过修改xdc文件创建时钟约束,分析时序问题并探讨synthesis及implementation的优化策略。在将100MHz时钟提升至200MHz后,通过Flow_PerfOptimized_high和Performance Explore等策略,逐步优化时序违例,以期达到更好的设计性能。同时,文章还提及了功耗报告和不同策略对布局布线速度的影响。
摘要由CSDN通过智能技术生成

在xdc中增加时钟约束

create_clock -period 10.000 -name clk_100 -waveform {0.000 5.000}   [get_ports i_clk_100]
create_clock -period 5.000   -name clk_200 -waveform {0.000 2.500}   [get_ports i_clk_200]

 

 

来自:https://blog.csdn.net/chinkwoyu/article/details/80262288

 

时序分析在FPGA设计中是分析工程很重要的手段,时序分析的原理和相关的公式小编在这里不再介绍,这篇文章是小编在练习VIVADO软件时序分析的笔记,小编这里使用的是18.1版本的VIVADO。 
这次的练习选择的是ZYNQ的芯片,原本工程是工作在100MHz的时钟,但是作为练习,我们可以把时钟调到一个极限的程度来进行优化。 
首先,打开一个工程,更改一下时钟频率,使得工程能够有一些时序问题,我们再通过时序分析的方法对它进行优化。我们这里把原本的100M时钟改成了200M时钟,具体步骤如下: 
:更改时钟之后进行综合,并打开timing analysis 
1
:通过report clock可以查看全局时钟树的情况 

  • 6
    点赞
  • 46
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值