去掉 vivado 自动添加的 bufg

对于TOP层而言,可以添加约束,不过必须在hdl代码里面去添加:


    



对于在 wire 或者 reg上面,有时候系统也会添加 bufg,其实好多时候都不是特别需要。我们可以在下面的bufg的把 默认值 12改为 0.


这里的bufg代表,vivado工具分析整个工程后,然后为某些地方添加一些 bufg的总个数。默认的最大值为12. 我们把它改为 0, vivado就不会自作主张为我们工程添加 bufg.

HAPPY CHILDRENS DAY.

在Python中使用`ol = Overlay('zcu102_led.bit')`后,如果在输入`ol`时出现了崩溃的问题,可能是由于Vivado中Zynq UltraScale MPSoC模块的配置有问题。要解决这个问题,您可以尝试使用该文件进行PS配置。 为了解决Vivado自动补全的问题,您可以按照以下步骤进行操作: 1. 打开Vivado软件,并找到工具栏上的“Tools”选项。 2. 在下拉菜单中选择“Settings”。 3. 在弹出的窗口中,找到“Text Editor”选项。 4. 点击箭头按钮,选择“Custom Editor”选项。 5. 点击旁边的“...”按钮,浏览并选择您的Notepad软件安装路径。请注意,路径分隔符应为“/”。 6. 点击“OK”按钮,并重新启动Vivado软件。 通过以上步骤,您应该能够解决Vivado自动补全的问题。请注意,如果您的Notepad软件安装路径与我的不同,请根据您自己的路径进行相应的设置。 希望以上信息能帮助到您。如果您有任何其他问题,请随时提问。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [PYNQ移植到ZCU102中PS模块配置文件](https://download.csdn.net/download/vacajk/10823608)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [vivado2019.1开启代码补全和相同代码高亮](https://blog.csdn.net/rtyrtyuhbszf/article/details/122154996)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

qq_807315755

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值