Verilog_单端口RAM_IP核调用

vivado配置

 

Verilog调用代码


module ram(

input wire sys_clk,
input wire wr_en,
input wire [7:0] addr,
input wire [7:0] data_in,
output wire [7:0] data_out
    );	
	
s_ram_8x256 inst1 (
  .clka(sys_clk),    // input wire clka
  .wea(wr_en),      // input wire [0 : 0] wea
  .addra(addr),  // input wire [7 : 0] addra
  .dina(data_in),    // input wire [7 : 0] dina
  .douta(data_out)  // output wire [7 : 0] douta
);	

endmodule

仿真测试代码 

`timescale 1ns / 1ns

module tb_ram();
reg sys_clk;
reg sys_rst_n;
reg wr_en;
reg [7:0]addr;
wire [7:0]data_in;
reg wr_flag;

wire [7:0]data_out;

initial
	begin
		sys_clk=1'b1;
		sys_rst_n<=1'b0;
		#20
		sys_rst_n<=1'b1;
	end
always #10 sys_clk=~sys_clk;

always@(posedge sys_clk or negedge sys_rst_n)
	if(sys_rst_n===1'b0)
		wr_flag<=1'b0;
	else if(addr==8'd255)
		wr_flag<=1'b1;
	else
		wr_flag<=wr_flag;

always@(posedge sys_clk or negedge sys_rst_n)
	if(sys_rst_n===1'b0)
		wr_en<=1'b0;
	else if(wr_flag==1'b1)
		wr_en<=1'b0;
	else
		wr_en<=1'b1;
		
always@(posedge sys_clk or negedge sys_rst_n)
	if(sys_rst_n===1'b0)
		addr<=8'd0;
	else if(addr==8'd255)
		addr<=8'd0;
	else
		addr<=addr+1'b1;

assign data_in=(wr_en)?addr:8'd0;
	

ram ram_inst
(
.sys_clk	(sys_clk),
.wr_en		(wr_en),
.addr		(addr),
.data_in	(data_in),
.data_out   (data_out)
    );
endmodule

vivado仿真波形图

  • 2
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值