vivado 物理优化、物理端口设计

本文介绍了物理优化技术在电路设计中的应用,如phys_opt_design命令,该命令可用于post-place和post-routing阶段优化,通过多种模式和选项实现网络性能提升。文章详细列出了可用的优化指令和选项,以及如何使用-verbose选项获取更多分析信息。
摘要由CSDN通过智能技术生成

物理优化消息

提示:物理优化报告为优化处理的每个网络,以及优化摘要执行(如有)。如下图所示,在物理优化结束时提供了一个摘要显示了每个优化阶段的统计数据及其对设计性能的影响。这突出显示了对改进WNS最有效的优化类型。

物理端口设计

phys_opt_design命令对设计运行物理优化。它可以磨合放置之后的放置后模式和设计完全布线之后的布线后模式。

phys_opt_design Syntax
phys_opt_design [-fanout_opt] [-placement_opt] [-routing_opt]
[-slr_crossing_opt] [-insert_negative_edge_ffs]
[-restruct_opt] [-interconnect_retime] [-lut_opt] [-
casc_opt]
[-cell_group_opt] [-critical_cell_opt] [-dsp_register_opt]
[-bram_register_opt] [-uram_register_opt] [-
bram_enable_opt]
[-shift_register_opt] [-hold_fix] [-aggressive_hold_fix]
[-retime] [-force_replication_on_nets <args>]
[-directive <arg>] [-critical_pin_opt] [-clock_opt]
[-path_groups <args>] [-tns_cleanup] [-sll_reg_hold_fix]
[-quiet] [-verbose]
Note : The -tns_cleanup option can only be run in conjunction with the -slr_crossing_opt option.
phys_opt_design Example Script
open_checkpoint top_placed.dcp
# Run post-place phys_opt_design and save results
phys_opt_design
write_checkpoint -force $outputDir/top_placed_phys_opt.dcp
report_timing_summary -file $outputDir/top_placed_phys_opt_timing.rpt
# Route the design and save results
route_design
write_checkpoint -force $outputDir/top_routed.dcp
report_timing_summary -file $outputDir/top_routed_timing.rpt
# Run post-route phys_opt_design and save results
phys_opt_design
write_checkpoint -force $outputDir/top_routed_phys_opt.dcp
report_timing_summary -file $outputDir/top_routed_phys_opt_timing.rpt

phys_opt_design示例脚本同时运行post-place和post-route physical优化。首先,从检查点加载放置的设计,然后再进行后期放置phys_opt_design。将保存检查点和计时结果。接下来,对设计进行布线,之后保存进度。然后是路由后的phys_opt_design和保存结果。请注意,相同的命令phys_opt_design用于post-place和路线后物理优化。没有使用任何显式选项来指定模式。

使用指令

指令为phys_opt_design命令提供了不同的行为模式。只有一个一次可以指定指令,并且指令选项与其他选项不兼容。可用指令如下所述。

•探索:在多次优化中运行不同的算法,包括复制非常高的扇形网,SLR交叉优化,以及称为关键路径的最后阶段优化,其中物理优化的子集在所有的顶级关键路径上运行端点时钟,而不考虑松弛。

•ExploreWithHoldFix:在多次优化中运行不同的算法,包括保持违规修复、SLR交叉优化和用于非常高扇出网络的复制。

•ExploreWithAggressiveHoldFix:在多次优化中运行不同的算法,包括激进的持有违规修复、SLR交叉优化和复制高扇形网。

提示:保持修复仅修复超过特定阈值的保持时间冲突。这是因为路由器期望修复小于阈值的任何保持时间违规。

•激进探索:与探索类似,但具有不同的优化算法等积极的目标。包括允许降低WNS的SLR交叉优化阶段其应当在随后的优化算法中重新获得。还包括一个暂停违规修复优化。

•交替复制:使用不同的算法执行关键的细胞复制。

•激进的扇出选择:使用不同的算法进行扇出相关优化积极的目标。

•AddRetime:执行默认的phys_opt_design流并添加寄存器重定时。

•AlternateFlowWithReting:执行更积极的复制、DSP和块RAM优化,并启用寄存器重定时。

•默认值:使用默认设置运行phys_opt_design。

•运行时间优化:运行最少的迭代,用更高的设计性能换取更快的运行时间

•RQS:指示phys_opt_design选择由report_qor_advancement策略建议。需要一份包含战略建议的RQS文件在调用此指令之前先读入。

提示:所有指令都与phys_opt_design的放置后版本和路由后版本兼容。

使用-verbose选项

要更好地分析物理优化结果,请使用-verbose选项查看其他详细信息opt_ design命令执行的优化的一部分。由于可能会有大量额外的信息。如果您认为-verbose选项可能会有所帮助,请使用它。

重要!phys_opt_design命令对内存中的设计进行操作。如果运行两次第二次运行优化了第一次运行的结果。

  • 25
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值