vivado MAX_FANOUT

MAX_FANOUT
MAX_FANOUT指示Vivado合成寄存器和信号的扇出限制
驱动程序必须被复制。该值指定为整数。
MAX_FANOUT覆盖全局合成选项的默认值-fanot_limit。
您可以从“工具”>“设置”的“合成”页面设置设计的默认限制
命令,或使用synth_design的-fanout_limit命令行选项
命令。
重要提示:在Vivado合成过程中,MAX_FANOUT属性被强制执行,而
-fanout_limit只是该工具的指导方针,而不是严格的命令。当严格扇出
如果需要控制,请使用MAX_FANOUT。此外,与-fanout_limit开关不同,MAX_fanout可以
冲击控制信号。-fanout_limit开关不影响控制信号(如设置、重置、,
时钟启用),如果需要,使用MAX_FANOUT复制这些信号。

此属性仅适用于寄存器和组合信号。满足规定
扇出限制,Vivado合成复制寄存器或驱动程序
组合信号。此属性可以在RTL或XDC中设置。
当放置器可以复制时,在放置优化过程中也会使用MAX_FANOUT
寄存器驱动高扇出网络,或寄存器驱动负载放置较远的网络
其具有未满足的MAX_FANOUT属性值。扇出
优化发生在布局流程的早期,降低了路径的时间关键性
在开始详细布局之前。
当MAX_FANOUT值小于受约束网络的实际扇出时,网络
始终对复制进行评估,但如果计时不正确,则可以跳过优化
改善。复制后扇出不一定与MAX_fanout匹配
约束值。

建筑
所有设备。
适用要素
•RTL中的寄存器和组合信号以及合成设计中的网络对象。
价值观
•<Integer>:指定扇出的最大限制,超过此限制后将复制驱动程序。

Syntax
Verilog Syntax
On Signal:
(* max_fanout = 50 *) reg sig1;
VHDL Syntax
signal sig1 : std_logic;
attribute max_fanout : integer;
attribute max_fanout of sig1: signal is 50;
XDC Syntax
set_property MAX_FANOUT <number> [get_nets -hier <net_name>]
  • 14
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值