vivado MAX_FANOUT_MODE

您可以使用MAX_FANOUT_MODE根据物理设备属性强制复制
财产。该属性可以采用CLOCK_REGION、SLR或MACRO的值。For
例如,值为CLOCK_REGION的MAX_FANOUT_MODE属性复制了
基于物理时钟区域的驱动器,放置在同一时钟区域的负载将是
聚集在一起。MAX_FANOUT_MODE属性优先于
FORCE_MAX_FANOUT属性和物理合成将通过应用
首先基于MAX_FANOUT_MODE的优化,然后其所有复制的驱动程序都将继承
FORCE_MAX_FANOUT属性用于在时钟区域内进行进一步复制。
架构支持
所有架构。
适用对象
直接连接到寄存器(FD、FDCE、FDPE、FDRE)输出的网络(get_Nets),
FDSE)或LUT(LUT1、LUT2、LUT3、LUT4、LUT5、LUT6、LUT6_2)。


•CLOCK_REGION、SLR、MACRO:指示工具复制每个对象的驱动程序
明确规定。MACRO负载是块RAM、UltraRAM或DSP。

Syntax
XDC Syntax
set_property MAX_FANOUT_MODE <value> [get_nets <net_name>]
  • 5
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值