基于FPGA的LDPC最小和译码算法的verilog实现

160 篇文章 542 订阅 ¥79.90 ¥99.00
本文介绍了基于FPGA的LDPC码最小和译码算法的Verilog实现,重点在于如何利用校验矩阵的循环特性设计并行与串行结合的译码器结构,以提高处理速度并降低硬件资源消耗。译码器包括校验节点和比特节点更新模块,以及存储器设计,以满足高速译码需求。仿真结果验证了设计的有效性。
摘要由CSDN通过智能技术生成

1.问题描述:

LDPC码字长度为9216比特,采用1/2码率,采用1/2码率来设计LDPC译码器,LDPC校验矩阵是正则的校验矩阵,即每行和每列1的个数是相等的。这样的结构决定了可以采用串并结合结构的译码器来进行实现。

由于LDPC码校验矩阵的特殊性,采用串并结合结构的译码器可以最大限度的节省硬件资源,又不至于使译码器的译码速度下降太多。对码率的 H矩阵进行分析,发现该 H 矩阵是准循环矩阵,对于 1/2 码率的 LDPC 校验矩阵 H,循环规律为:按行来看,每隔 18 行右移 36 列;按列来看,每隔 36 列下移 18 行。即按行来看,把前 18 行看成一个行子矩阵,4608 行可分成 256 个行子矩阵,若己知第一个行子矩阵,则后面 255 个行子矩阵分别由前一个行子矩阵各行循环右移 36 列形成,每子矩阵有 18×6=108 个“1”。按列来看,把前 36 列看成一个列子矩阵,9216 列可分成 256 个列子矩阵,若已知第一个列子矩阵,则后面 255 个列子矩阵分别由前一个列子矩阵各列循环下移 18行形成,每个子矩阵有 36×3=108 个“1”。其构造图如图1所示。

  • 3
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

fpga和matlab

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值