【FPGA+JPEG】基于FPGA的JPEG图像编码系统verilog设计

160 篇文章 541 订阅 ¥79.90 ¥99.00
263 篇文章 433 订阅
这篇博客介绍了基于FPGA的JPEG图像编码系统设计,重点在于DCT快速算法和ZigZag扫描的优化。通过行列分解实现2D-DCT变换,采用双口RAM加速,以及ZigZag简化算法降低计算量。系统在Virtex_II PRO平台上实现,强调了硬件资源和速度的优化。
摘要由CSDN通过智能技术生成

1.软件版本

MATLAB2013b,ise14.7

2.本算法理论知识

·基于FPGA的图像编码系统和算法实现                             

根据JPEG标准,采用自顶向下的设计方法,首先把JPEG编码系统分成几个子模块,通过verilog语言编写JPEG编码系统各个模块,这些子模块级联起来,共同完成JPEG编码系统。主要模块包含2D-DCT变换、量化、熵编码、码流组装几个模块。

·JPEG快速算法的实现

针对2D-DCT变换模块进行,采用两个1D-DCT单元流水线完成,中间的转置存储器采用双口RAM结构,进行乒乓操作,占用更多资源来提高操作速度。

·JPEG算法改进

修改DCT模块,在资源和速度上进一步优化算法。针对ZigZag

  • 5
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

fpga和matlab

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值