自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

FPGA/MATLAB学习教程/源码/项目合作开发

1.无线基带,无线图传,编解码 2.机器视觉,图像处理,三维重建 3.人工智能,深度学习 4.智能控制,智能优化等MATLAB仿真和FPGA实现

  • 博客(61)
  • 资源 (2203)
  • 收藏
  • 关注

原创 基于FPGA的UDP包的网络收发(开发板-PC通信)

1.问题描述:2.部分程序:3.仿真结论:A06-50

2021-05-31 18:26:16 1584 3

原创 MORE协议的matlab仿真

1.问题描述:2.部分程序:3.仿真结论:

2021-05-31 18:08:35 1411

原创 MRC误码率的matlab仿真

1.问题描述:MRC误码率的matlab仿真2.部分程序:%Comparison of Decode and F,Detect and F and Amplify and Forward%BPSK in flat fading with WGN:%plot simulation results of BER and theoratical results for it.%combining the two signals using MRC%using averaged ...

2021-05-31 18:05:11 2678

原创 伽罗华域乘法

% function out=gf28(a,b) %伽罗华域乘法temp1=4;temp2=4;i=8;for j=0:7 %将temp1中的值化为二进制,存在一个1行的矩阵g中,矩阵每列存一位g(i-j)=rem(temp1,2);temp1=fix(temp1/2);endfor j=0:7 %将temp2中的值化为二进制,存在一个1行的矩阵h中,矩阵每列存一位h(i-j)=rem(temp2,2);temp2=fix(temp2/2);ends=conv(g,h); %...

2021-05-31 17:45:26 1120

原创 高斯混合模型的背景帧差法的MATLAB仿真

1.问题描述:2.部分程序:3.仿真结论:

2021-05-31 17:03:03 721 1

原创 不同调制方式的包络和功率谱

1.问题描述:2.部分程序:3.仿真结论:D68

2021-05-31 16:47:57 2192 1

原创 2021-6月部分CSDN私信问题整理(持续整理中)

(如果您的问题还没整理进去,则CSDN私信把问题发我,这里只解答matlab/FPGA使用的一些经验分享,源码索取,论文复现,项目合作等请加微信,这里不做答疑)❤️现有问题目录:无

2021-05-31 16:37:47 2121

原创 xilinx vivado的Combinatorial Loop Alert问题

[DRC LUTLP-1] Combinatorial Loop Alert: 1 LUT cells form a combinatorial loop. This can create a race condition. Timing analysis may not be accurate. The preferred resolution is to modify the design to remove combinatorial logic loops. If the loop is known

2021-05-28 18:21:48 4090

原创 用于实时视频监控的摇摄/倾斜/变焦摄像机中具有复杂背景的鲁棒运动检测

1.问题描述:一种用于云台变焦相机自动跟踪运动目标的有效算法。跟踪算法适用于但不限于静止背景,并且能够容忍合理的噪声和光照变化。其主要思想是在存在噪声和不确定性的情况下,利用统计方法积累背景信息。该方法还允许根据图像的平移-倾斜-变焦特征量来决定背景随时间的变化,并区分最可能的背景变换。恢复背景时,可以使用标准的背景减法。仿真结果表明,所提出的跟踪算法对监控系统是有效的。2.部分程序:%function AnnEng_ir(fname, ratio, skip, ifdisp)%A..

2021-05-27 15:13:21 1307

原创 2021-05-27

clear%模拟光栅,光栅常数d=10mm,缝宽为3mm,缝数为10。f=zeros(100,100); for i=0:2; f(8+i:10:98+i,:)=1; end d=0.01;y=fft2(f); %对光栅进行二维傅里叶变换,得到光栅场分布的空间频谱。lamda=5.00e-7; %波长 k=2...

2021-05-27 15:09:04 600

原创 基于EM算法的图像融合算法,对图像不用进行多尺度分解

function gaussian12f=imread('A1.jpg');g=imread('B1.jpg');f=rgb2gray(f);f=im2double(f);g=rgb2gray(g);g=im2double(g);%imshow(f,[]);h=ones(256,256);h(1,:)=0.5*f(1,:)+0.5*g(1,:);h(200,:)=0.5*f(200,:)+0.5*g(200,:);h(:,1)=0.5*f(:,1)+0.5*g(:,1);h(:,

2021-05-27 15:07:16 659

原创 不同物体类型的图像特征提取分析

1.问题描述:将图像加载到matlab中,对数据进行统计分析和汇总,例如,可以从绘制每个图像的平均颜色值开始,可能是对同一类的图像进行分组。你也可以为不同的类制作颜色值的直方图。你看到任何证据表明不同的类可以被分类器分开吗?2.部分程序:clc;clear;close all;%% 问题一%step1:读取图片[A01,A02,A03,A04,A05,A06,A07,A08,A09,A10,A11,A12,A13,A14,A15] = func_readimages_anim..

2021-05-27 07:29:38 1347 1

原创 基于simulink的不同阶QAM的误码率仿真

1.问题描述:误码率/误码率曲线本演示中的文件旨在生成一些各种系统的性能曲线。这不是故意的是一份全面的清单;这只是一套参考模型这说明了如何使用Simulink模型。要完成演示,请在MATLAB提示符下运行以下命令:示例\u sel2html('RefCurvesSel.txt')2.部分程序:%% Display Start messagedisp('Starting PSK BER Simulation set.')%% Plot theoretical .

2021-05-27 07:15:34 2143

原创 matlab瑞利衰落信道仿真

1.问题描述:由于多径和移动台运动等影响因素,使得移动信道对传输信号在时间、频率和角度上造成了色散,如时间色散、频率色散、角度色散等等,因此多径信道的特性对通信质量有着至关重要的影响,而多径信道的包络统计特性成为我们研究的焦点。根据不同无线环境,接收信号包络一般服从几种典型分布,如瑞利分布、莱斯分布和Nakagami-m分布。,专门针对服从瑞利分布的多径信道进行模拟仿真,进一步加深对多径信道特性的了解。2.部分程序:%main.mclc;LengthOfSignal=10240;..

2021-05-27 07:10:40 2699

原创 多径信道误码率理论仿真

1.问题描述:多径信道误码率理论仿真2.部分程序:global dt t df Nclose allN=2^10; %采样点数L=64; %每码元的采样点数M=N/L; %码元数Rb=2; %码速率是2Mb/s , 码速率单位是Mb/sTs=1/Rb; %码元间隔dt=Ts/L; %时域采样间隔 , 时间单位是微秒df=1/(N*dt) %频域采样间隔 ,频率单位为MHzT=N*dt; %截短时间Bs=N*df/...

2021-05-27 07:08:11 1808

原创 多径信道的仿真

1.问题描述:无线信道的建模向来是移动无线通信系统理论中具有挑战性的难点,通常采用统计的方法进行信道建模,根据所研究信号在特定环境下的特性来选择不同的信道模型。目前,在OFDM系统的仿真中,涉及无线信道的仿真方法主要有以下几种:1) 设定延时和衰落幅度值,然后与信号相乘并求和,这是最简单的多径信道仿真;2) 设定各延迟路径的时延和功率,根据路径功率用高斯过程分别得到复抽头系数的实部和虚部,然后用复抽头系数与信号相乘并求和,这也是一种简化的仿真方式;3) 产生Rayleigh衰落因子,如MATLAB中的函

2021-05-27 07:03:04 2777 6

原创 matlab setdiff函数

setdiff函数set difference.C=setdiff(A,B) for vector A and B, return the values in A that are not in B with no repetitions. C will be sorted.对于向量A,向量B,C=setdiff(A,B)函数返回在向量A中却不在向量B中的元素,并且C中不包含重复元素,并且从小到大排序。[C,IA] = setdiff(A,B) also returns an index v.

2021-05-22 21:29:04 2279

原创 深度图提取

1.问题描述:深度图提取2.部分程序:%% Geometric Blind Deconvolution script%% Copyright 2006 Paolo Favaro (p.favaro@hw.ac.uk)%% School of Engineering and Physical Sciences% Heriot-Watt University, Edinburgh, UK%% Last revision: May 2006%% This program...

2021-05-22 19:37:00 1165

原创 无线传感器网络的快速捕获算法

1.问题描述:已有的捕获算法单步捕获算法脉冲主机同步的单步捕获过程,类似于顺序搜索的算法过程。在传统的积分顺序搜索捕获中,接收机需要不断顺序调整本地相关模板信号相位,通过相关输出判断是否达到同步要求。主机同步捕获则是在WSN主机发射结点自主顺序调整发射信号,由标签接受机通过与本地固定本振相位信号相关,即同步判决,并将结果反馈给主机的过程。两步捕获算法(Multiple-Shift Algorithm)两步捕获算法(MS算法)包括两次主机捕获过程,其区别在于主机所发射的同步脉冲信号宽度.

2021-05-22 19:30:42 2187 4

原创 非常好的不规则目标边缘提取算法

1.问题描述:非常好的不规则目标边缘提取算法2.部分程序:function D = sussman(D, dt)% SUSSMAN(D, dt) Corrects distance function so that it maintains |Dt| = 1% (slope of 1) using method presented in Sussman "Level Set Approach in% Two-Phase Flow":%% G(D) = sqrt( max[(a+)^..

2021-05-22 19:23:39 1920

原创 基于FPGA的简单视频传输方案设计

1.问题描述:该部分理论主要为使用MATLAB来模拟实际的摄像机,将图像信息or视频信息(视频为帧组成,每一帧也为图像信息)转换为电平信号送给FPGA发送端。这里,我们使用的图像序列格式为RGB格式,通过MATLAB将一个图片信息转化为电平信号,分别为R,G,B三路信号。这里,图像信息的像素均为160*120。(注意,这里这个160*120最好不要修改,否则对整个代码进行修正)。代码介绍: 此部分代码,即模拟设计摄像机采集到的数据,将数据转化为RGB电平信号送入给FPG...

2021-05-22 19:18:02 2097

原创 CY7C68013与FPGA接口的Verilog_HDL实现

USB(通用串行总线)是英特尔、微软、IBM、康柏等公司1994年联合制定的一种通用串行总线规范,它解决了与网络通信问题,而且端口扩展性能好、容易使用。最新的USB2.0支持3种速率:低速1.5 Mbit/s,全速12 Mbit/s,高速480 Mbit/s。这3种速率可以满足目前大部分外设接口的需要。本文介绍了目前使用较多的USB2.0控制器CY7C68013芯片与FPGA(现场可编程门阵列)芯片接口的Verilog HDL(硬件描述语言)实现。本系统可扩展,完全可用于其他高速数据采集系统中。1

2021-05-22 19:10:56 1518 6

原创 拉道radau伪谱算法

出处-类目-编号1.问题描述:由于radau离散化之后的非线性方程组非常复杂,直接使用MATLAB自带的fmincon函数,无法计算出最后的结果。我们根据fmincon的原理以及方程组的特点,自己编写了优化算法。具体你可以看我们的代码,其基本原理也是基于搜索过程的。2.部分程序:clc;clear;close all;warning off;RandStream.setDefaultStream(RandStream('mt19937ar','seed',sum(1)))..

2021-05-22 17:23:08 4780 3

原创 学习型红外遥控器的FPGA设计与实现

1.问题描述:振荡电路提供系统工作时钟和常用的38K站空比为1:3的载波,键扫描输入输出电路与SEL输入口形成用户码与键码,并由码产生电路形成待发射数据,在输出控制电路控制下,通过REMO输出口由红外线输出。在遥控器发射的每帧数据中,包括引导码,用户码,和键码三个部分。并采用脉冲位置调制方式(PPM)区分“1”和“0”,脉冲的宽度,脉冲间的时间间隔的大小,每帧数据所包含的位数以及引导码高低电平的时间宽度的不同,为不同的发码格式,常用的如NEC6122格式。NEC6122格式如下所示:.

2021-05-21 16:29:17 2277 1

原创 基于LSTM网络的视觉识别

1.问题描述: 以人脸图像的视觉识别为研究对象,研究了基于LSTM长短期记忆单元网络的视觉识别算法,通过使用卷积神经网络学习人脸图像的特征信息,然后使用LSTM网络建立序列知识,并生成描述性的句子,作为特征序列,建立一种基于句子描述的LSTM网络的视觉识别算法,最后通过MATLAB对该算法进行了仿真验证,对于不同姿态,不同干扰因素影响下的目标图像,基于LSTM的视觉识别正确率可以达到76%以上。 长短时记忆模型LSTM是由Hochreiter[24]等人在1997年首次提出的,其主...

2021-05-21 16:24:28 2867

原创 二维图像的SOMP算法,即同步正交跟踪算法

1.问题描述: 频率 20452Hz 仿真区域 170X190 半径 210 厚度 3 Cutting半径 150 放大频率带宽 500~22000hz 仿真采样步进 15 参考文献:2.部分程序:.

2021-05-21 16:09:20 2632

原创 1-D信号压缩传感的实现

1.问题描述:1-D信号压缩传感的实现(正交匹配追踪法Orthogonal Matching Pursuit)测量数M>=K*log(N/K),K是稀疏度,N信号长度,可以近乎完全重构2.部分程序:% 1-D信号压缩传感的实现(正交匹配追踪法Orthogonal Matching Pursuit)% 测量数M>=K*log(N/K),K是稀疏度,N信号长度,可以近乎完全重构clc;clearclose all;%% 1. 时域测试信号生成K=7; %...

2021-05-21 15:59:24 1241

原创 二维图像的匹配追踪重建

1.问题描述: 算法假定输入信号与字典库中的原子在结构上具有一定的相关性,这种相关性通过信号与原子库中原子的内积表示,即内积越大,表示信号与字典库中的这个原子的相关性越大,因此可以使用这个原子来近似表示这个信号。当然这种表示会有误差,将表示误差称为信号残差,用原信号减去这个原子,得到残差,再通过计算相关性的方式从字典库中选出一个原子表示这个残差。迭代进行上述步骤,随着迭代次数的增加,信号残差将越来越小,当满足停止条件时终止迭代,得到一组原子,及残差,将这组原子进行线性组合就能重构输入信号。...

2021-05-21 15:57:30 2094 1

原创 三维SLAM路径规划

% 3D SLAM with linear KF - Moving vehicle - Relative measurement - Limited% Sensor Range - Observing (x,v,landmarks) - Landmarks updated once% observed%% A 3 DOF underwater robot is moving along a path detecting some% motionless landmarks; the positio

2021-05-18 01:54:32 1620

原创 基于SLAM算法的路径规划算法

function x=slam% Basic Simultaneous Localisation and Mapping Algorithm usign EKF using Encoder and Laser% Juan Nieto j.nieto@acfr.usyd.edu.au% Eduardo Nebot nebot@acfr.usyd.edu.au% More information http://acfr.usyd.edu.au/homepages/ac...

2021-05-18 01:45:50 3040 2

原创 2D SLAM with linear KF

% 2D SLAM with linear KF - Moving vehicle - Relative measurement -% Unlimited Sensor Range - Observing (x,v,landmarks)%% A 2 DOF mobile robot is moving along a path detecting some% motionless landmarks; the position/velocity of the robot and position%

2021-05-18 01:44:14 1214

原创 根据图像目标深度测试距离

clc;clear;close all;warning off;addpath 'func\'%计算物体的深度距离%===============================%计算左边图像的目标位置%===============================PL=imread('p1.jpg');subplot(241),imshow(PL),title('左侧拍摄图像');PL=rgb2gray(PL);PL=255-PL;PL1=im2bw(PL,0.8);sub.

2021-05-17 18:26:19 3725 1

原创 slam

%-------------------------------------------------------------------------% FILE: slam.m% AUTH: Liu Bing% Created: 09/07/19% Comleted: 09/07/23% DESC: Implements the SLAM algorithm based on a robot model and sensor% data from Nebot.% Problem...

2021-05-17 18:22:05 1929

原创 基于Kinect深度图像和SLAM二维地图创建

1.问题描述: 通过将kinect深度图像采集传感器安装在移动机器人上对未知室内环境进行三维探索和平面地图创建,通过装载kinect深度图采集传感器的移动机器人采集环境的彩色信息和深度信息,通过模拟激光扫描方法获得对应的点云数据,然后通过SIFT算法进行特征点的提取,并对相邻两帧进行匹配,然后通过GTM算法剔除错误的匹配点,最后通过SLAM算法构建空间的二维平面地图。目前SLAM方法大致可分为两类:一类为基于概率模型的方法,另一类为非概率模型方法。许多基于卡尔曼滤波的SLA...

2021-05-17 18:18:27 1818

原创 通过FPGA实现RGB转HSV的图像处理功能

1.问题描述:2.部分程序://Hcal_H cal_H_u( .i_clk (~i_TxClk), .i_rst (i_rst), .i_LVAL (w_LVAL), .i_Vmax (w_Vmax), .i_Vmin (w_Vmin), .i_PA_R (w_PA_R), .i_PB_G (w_PB_G), .i_PC_B (w_PC_B), .o_H (o_H),...

2021-05-17 18:07:30 3100

原创 基于蒙特卡洛循环的电价模型最优化仿真

1.问题描述:dT = 1;cc = xx;Hours = 24;N = 10;%先按10个仿真,你自己测试的时候,改为2000,但是仿真及其缓慢S = 15;%充电开始时间概率密度函数miue = 17.6;dete = 3.4;Pet = [7.7+(25.6-7.7)*rand(1,N)];f1=@(t) 1./dete./sqrt(2*pi).*exp(-(t-miue).^2./2./dete.^2);f2=@(t) 1./...

2021-05-17 18:02:55 1611

原创 PSO优化算法

1.问题描述:目标函数为:function [Y]=ackley(x,flag)% global Pglobal T% x=mapminmax('apply',x(1,:)',P); %1X5% x=x';W2=[0.983513649425645,0.0156395494399536,0.612578690108221,0.348949772433000,0.266166079005764,0.124947353178640,0.923296305479215,-0.530232046.

2021-05-17 17:43:37 1161

原创 双目标PSO优化算法

1.问题描述:双目标PSO优化算法,目标函数如下:function Z=fitness(x)z1=1-exp(-sum((x-(1/sqrt(3))).^2));z2=1-exp(-sum((x+(1/sqrt(3))).^2));Z=[z1 z2]';end2.部分程序:clcclearclose allformat shortG%% parameters settingnvar=3; % number of variablelb=[-4...

2021-05-17 17:31:50 3597

原创 多目标PSO优化算法的仿真

1.问题描述:对三个目标函数进行优化,如下:function z=MyCost1(x) n=numel(x); z=[0 0]; z(1)=1-exp(-sum((x-1/sqrt(n)).^2)); z(2)=1-exp(-sum((x+1/sqrt(n)).^2));endfunction z=MyCost2(x) z1=sum(-10*exp(-0.2*sqrt(x(1:end-1).^2+x(2:end).^2)))...

2021-05-17 17:15:06 2499

原创 基于FPGA的DCT/小波变换的verilog实现,modeslim仿真,quartusii硬件下载

1.问题描述:基于FPGA的DCT/小波变换的verilog实现,modeslim仿真,quartusii硬件下载小波变换为整数97变换DCT为二维图像压缩解压缩2.部分程序:`timescale 1ns / 10ps//////////////////////////////////////////////////////////////////////////////////// Company:// Engineer:// Design Name:// ...

2021-05-12 22:06:29 3539

基于Shi-Tomasi角点检测和KLT算法的双目图像三维重建matlab仿真,包括程序,程序中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,程序中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:双目图像三维重建 4.仿真效果:仿真效果可以参考博客同名文章《基于Shi-Tomasi角点检测和KLT算法的双目图像三维重建matlab仿真》 5.内容:基于Shi-Tomasi角点检测和KLT算法的双目图像三维重建matlab仿真。Shi-Tomasi角点检测算法是一种用于在图像中识别具有独特性的点的算法,这些点通常称为“角点”或者“特征点”。角点是指那些在不同方向上都有显著变化的图像区域中的点。Shi-Tomasi算法基于这样的假设:如果一个点在多个方向上都有较大的梯度变化,则该点很可能是一个好的角点。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-09-17

基于FPGA的超高阶16384QAM星座点映射verilog实现,包含verilog程序,testbench,matlab星座图

1.版本:matlab2022A,vivado2019.2。 2.包含:verilog程序,testbench,matlab星座图,仿真操作步骤(使用windows media player播放)。 3.领域:超高阶16384QAM 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的超高阶16384QAM星座点映射verilog实现》 5.内容:基于FPGA的超高阶16384QAM星座点映射verilog实现。16384-QAM意味着每个调制符号可以携带log₂(16384) = 14比特的信息。为了实现如此高的信息承载能力,需要设计一个足够大的星座图,使得星座点之间的最小欧氏距离尽可能大。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。vivado工程必须英文路径

2024-09-12

雷达信号特性的matlab仿真与分析,对比线性调频信号,非线性调频信号,相位编码信号,包括程序,程序功能介绍,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,程序功能介绍,仿真操作步骤(使用windows media player播放)。 3.领域:雷达信号 4.仿真效果:仿真效果可以参考博客同名文章《雷达信号特性的matlab仿真与分析,对比线性调频信号,非线性调频信号,相位编码信号》 5.内容:雷达信号特性的matlab仿真与分析,对比线性调频信号,非线性调频信号,相位编码信号。对于线性调频信号,分析了线性调频信号的各类特性,线性调频脉冲压缩信号具有实现简单,但旁瓣电平高等特点。对于非线性调频信号,主要在大时宽带宽积的条件下,选择不同的窗函数设计波形对脉冲压缩后分别仿真其主副瓣比和主瓣宽度。然后采用组合窗法,选择两种或多种合适的窗函数,对其进行线性组合得到新的组合窗调频函数,并采用MATLAB对相关的理论进行了仿真。对于相位编码信号,主要仿真了巴克码。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-09-08

基于协作认知无线电通信系统的信道估计算法matlab仿真,对比LS和MMSE,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:协作认知无线 4.仿真效果:仿真效果可以参考博客同名文章《基于协作认知无线电通信系统的信道估计算法matlab仿真,对比LS和MMSE》 5.内容:基于协作认知无线电通信系统的信道估计算法matlab仿真,对比LS和MMSE。在协作认知无线电通信系统中,信道估计是一项关键的技术,它直接影响着系统性能,如数据传输速率、误码率以及频谱利用率等。信道估计的主要目的是通过已知的训练序列来估计无线信道的特性,进而用于数据检测、干扰抑制和其他处理任务。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-09-01

基于SAGE算法的宽带信道参数估计matlab仿真,包括程序,中文注释,参考文献,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:SAGE算法 4.仿真效果:仿真效果可以参考博客同名文章《基于SAGE算法的宽带信道参数估计matlab仿真》 5.内容:基于SAGE算法的宽带信道参数估计matlab仿真。SAGE算法是GEM算法的一个扩展,其核心思想是在期望最大化(Expectation-Maximization, EM)算法的基础上交替地对不同的参数组进行优化。在宽带信道参数估计中,SAGE算法可以用来估计信道的冲激响应。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-29

基于ACO蚁群优化算法的城市TSP问题求解matlab仿真,包括程序,中文注释,参考文献,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:城市TSP问题求解 4.仿真效果:仿真效果可以参考博客同名文章《基于ACO蚁群优化算法的城市TSP问题求解matlab仿真》 5.内容:基于ACO蚁群优化算法的城市TSP问题求解matlab仿真。蚁群优化(Ant Colony Optimization, ACO)算法是一种启发式搜索算法,它模仿了真实世界中蚂蚁寻找食物的行为。这种算法特别适合用于解决组合优化问题,如旅行商问题(Traveling Salesman Problem, TSP)。TSP问题是这样的:给定一系列城市和它们之间的距离,找到一条路径,使得从一个城市出发访问每个城市恰好一次后回到起点,并且这条路径的总距离最短。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-25

风机负荷中无刷直流电机的闭环速度控制系统simulink建模与仿真,包括simulink模型,仿真操作步骤

1.版本:matlab2022A。 2.包含:simulink模型,仿真操作步骤(使用windows media player播放)。 3.领域:闭环速度控制系统 4.仿真效果:仿真效果可以参考博客同名文章《风机负荷中无刷直流电机的闭环速度控制系统simulink建模与仿真》 5.内容:风机负荷中无刷直流电机的闭环速度控制系统simulink建模与仿真。无刷直流电机的闭环速度控制系统在风机负荷中是非常重要的组成部分。通过合理设计和调整PID控制器的参数,可以确保电机在各种工作条件下都能稳定、高效地运行。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-25

常见数字调制解调系统matlab误码率仿真,对比BPSK,QPSK,16QAM,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:数字调制解调系统 4.仿真效果:仿真效果可以参考博客同名文章《常见数字调制解调系统matlab误码率仿真,对比BPSK,QPSK,16QAM》 5.内容:常见数字调制解调系统matlab误码率仿真,对比BPSK,QPSK,16QAM。数字调制技术是现代通信系统的核心组成部分,用于将数字信号转换成适合通过物理介质传输的形式。本程序对比包括BPSK(二进制相移键控)、QPSK(四相相移键控)以及16-QAM(16正交幅度调制)三种调制解调系统的误码率。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-18

三电平SVPWM算法的simulink建模与仿真,包括simulink模型,仿真操作步骤

1.版本:matlab2022A。 2.包含:simulink模型,仿真操作步骤(使用windows media player播放)。 3.领域:三电平SVPWM算法 4.仿真效果:仿真效果可以参考博客同名文章《三电平SVPWM算法的simulink建模与仿真》 5.内容:三电平SVPWM算法的simulink建模与仿真。三电平空间矢量脉宽调制(SVPWM)算法通常用于三电平逆变器控制中,它能够有效地提高直流电压利用率和降低输出电压谐波含量。在三电平逆变器中,每个开关臂可以处于三种状态:高电平、低电平和零电平。因此,对于一个相来说,它可以产生7种不同的电压矢量(包括两个零矢量和五个非零矢量)。这些矢量可以组成一个六边形的空间矢量图。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-13

分别通过SVM和MLP对数据进行分类测试matlab仿真,输出ROC曲线,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:SVM和MLP 4.仿真效果:仿真效果可以参考博客同名文章《分别通过SVM和MLP对数据进行分类测试matlab仿真,输出ROC曲线》 5.内容:分别通过SVM和MLP对数据进行分类测试matlab仿真,输出ROC曲线。包括步骤:加载数据,分割数据集,训练SVM模型,训练MLP模型,对测试数据进行预测,计算并绘制ROC曲线,最后进行ROC曲线对比。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-12

基于L2正则化项的正交人脸识别算法matlab仿真,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:人脸识别 4.仿真效果:仿真效果可以参考博客同名文章《基于L2正则化项的正交人脸识别算法matlab仿真》 5.内容:基于L2正则化项的正交人脸识别算法matlab仿真。仿真输出ROC曲线,混淆矩阵。在正交人脸识别中,L2正则化通常被用来优化特征选择过程。比如,在PCA中,我们可以通过添加L2正则化项来控制特征向量的权重,从而得到更稳健的特征表示。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-09

基于IMM交互多模和卡尔曼滤波的多模型目标跟踪算法matlab仿真,包括程序,中文注释,仿真操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:多模型目标跟踪 4.仿真效果:仿真效果可以参考博客同名文章《基于IMM交互多模和卡尔曼滤波的多模型目标跟踪算法matlab仿真》 5.内容:基于IMM交互多模和卡尔曼滤波的多模型目标跟踪算法matlab仿真。IMM算法是一种递归估计方法,它通过组合多个卡尔曼滤波器来处理动态变化的目标模型。每个滤波器都对应一个不同的运动模型,如常速模型、匀加速模型等。这些滤波器相互作用并共享信息以更新概率权重,从而在各个模型之间进行平滑切换。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-07

基于双PI和SVPWM的永磁同步电机控制系统simulink建模与仿真,simulink模型,中文注释,参考文献,仿真操作步骤

1.版本:matlab2022A。 2.包含:simulink模型,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:双PI和SVPWM 4.仿真效果:仿真效果可以参考博客同名文章《基于双PI和SVPWM的永磁同步电机控制系统simulink建模与仿真》 5.内容:基于双PI和SVPWM的永磁同步电机控制系统simulink建模与仿真。基于双PI控制器和SVPWM的PMSM控制系统是一种有效的电机控制策略,能够实现高精度的转矩和速度控制。设计此类系统时需要考虑的因素包括电机参数、控制器参数的选择、电流和速度检测以及SVPWM的实现。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-03

基于CNN卷积神经网络的mnist手写数字库训练matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:CNN+mnist 4.仿真效果:仿真效果可以参考博客同名文章《基于CNN卷积神经网络的mnist手写数字库训练matlab仿真》 5.内容:基于CNN卷积神经网络的mnist手写数字库训练matlab仿真。使用卷积神经网络(Convolutional Neural Network, CNN)对MNIST手写数字数据集进行训练是一个常见的机器学习任务。MNIST数据集包含60,000个训练样本和10,000个测试样本的手写数字灰度图像,每个图像的尺寸为28x28像素。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-08-03

基于文化优化算法的非线性无约束函数问题求解matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:文化优化算法 4.仿真效果:仿真效果可以参考博客同名文章《基于文化优化算法的非线性无约束函数问题求解matlab仿真》 5.内容:基于文化优化算法的非线性无约束函数问题求解matlab仿真。文化算法(Cultural Algorithm, CA)是一种模仿人类文化的进化计算方法,它通过模拟人类文化中的社会学习过程来解决优化问题。文化算法通常包括两个主要部分:种群空间(Population Space)和信仰空间(Belief Space)。种群空间负责传统的进化计算操作,如选择、交叉、变异等;而信仰空间则用于存储和更新知识,这些知识指导种群空间中个体的行为和进化方向。在非线性无约束函数问题中,文化算法可以有效地探索解空间并找到全局最优解或者接近最优解的解。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-29

基于IMMPF交互式多模粒子滤波的目标跟踪算法matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:交互式多模粒子滤波 4.仿真效果:仿真效果可以参考博客同名文章《基于IMMPF交互式多模粒子滤波的目标跟踪算法matlab仿真》 5.内容:基于IMMPF交互式多模粒子滤波的目标跟踪算法matlab仿真。交互式多模态粒子滤波器(Interactive Multiple Model Particle Filter, IMMPF)是一种结合了交互式多模型滤波(IMM)与粒子滤波(PF)优点的方法,用于解决目标跟踪中的非线性及非高斯问题。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-29

wcdma通信链路matlab误码率仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:wcdma 4.仿真效果:仿真效果可以参考博客同名文章《wcdma通信链路matlab误码率仿真》 5.内容:wcdma通信链路matlab误码率仿真。卷积编码:使用了 poly2trellis 函数来创建一个卷积编码器的trellis结构。 OVSF码:OVSF码用于扩频,即把信号扩展到更宽的频带上。 根升余弦接收滤波器:这种类型的滤波器被用来恢复信号的形状,降低码间干扰 (ISI)。 解扰:解扰过程是将信号与分配给用户的特定扰码相乘。 解扩:解扩过程是将解扰后的信号与OVSF码相乘。 积分:积分步骤是将解扩后的信号按OVSF码的长度进行求和,以便从扩频信号中恢复原始比特。 维特解码:使用维特算法进行卷积编码的解码。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-28

基于simulink的模糊PID控制系统建模与仿真,包括simulink文件,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:simulink文件,参考文献,操作步骤(使用windows media player播放)。 3.领域:模糊PID控制 4.仿真效果:仿真效果可以参考博客同名文章《基于simulink的模糊PID控制系统建模与仿真》 5.内容:基于simulink的模糊PID控制系统建模与仿真。模糊PID控制系统是一种结合了模糊逻辑和传统PID(比例-积分-微分)控制策略的控制方法,旨在提高控制系统的性能,尤其是在面对非线性、时变或不确定性的被控对象时。模糊PID控制器通过引入模糊逻辑系统来动态调整PID控制器的参数(即比例系数Kp、积分时间Ti和微分时间Td),从而实现对控制规则的灵活调整,以达到更好的控制效果。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-20

基于PSO优化的BP神经网络训练与测试matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:PSO优化的BP神经网络 4.仿真效果:仿真效果可以参考博客同名文章《基于PSO优化的BP神经网络训练与测试matlab仿真》 5.内容:基于PSO优化的BP神经网络训练与测试matlab仿真。粒子群优化(Particle Swarm Optimization, PSO)结合BP(Backpropagation)神经网络是一种常见的优化方法,用于提升神经网络的学习能力和泛化能力。PSO不仅帮助BP神经网络找到了一个较好的初始解,从而可能加快了训练过程并提高了最终模型的质量,而且还能探索到更广泛的解空间,有助于避免局部最优解。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-20

基于FPGA的斐波那契数列verilog实现,包含testbench,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:斐波那契数列 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的斐波那契数列verilog实现,包含testbench》 5.内容:基于FPGA的斐波那契数列verilog实现,包含testbench。基于FPGA实现斐波那契数列可以采用多种方法,本课题采用的是时序逻辑(状态机)来加速计算。这种方法适用于生成较短的斐波那契数列,对于长序列,更高效的设计可能涉及更复杂的并行计算策略。 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-07-14

基于ACO蚁群优化算法的机器人路径规划matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:机器人路径规划 4.仿真效果:仿真效果可以参考博客同名文章《基于ACO蚁群优化算法的机器人路径规划matlab仿真》 5.内容:基于ACO蚁群优化算法的机器人路径规划matlab仿真。ACO算法模拟蚂蚁在搜索食物路径时留下信息素(pheromone)的行为。在算法中,信息素作为一种虚拟物质,其浓度反映了路径的质量,浓度越高表示路径越优。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-14

基于MPPT最大功率跟踪的光伏发电系统simulink建模与仿真,包括simulink模型,参考文献,操作步骤视频

1.版本:matlab2022A。 2.包含:simulink模型,参考文献,操作步骤视频(使用windows media player播放)。 3.领域:光伏发电 4.仿真效果:仿真效果可以参考博客同名文章《基于MPPT最大功率跟踪的光伏发电系统simulink建模与仿真》 5.内容:基于MPPT最大功率跟踪的光伏发电系统simulink建模与仿真。基于MPPT(最大功率点跟踪)的光伏发电系统是一种通过实时调节光伏电池的工作点,以确保其始终工作在最大功率输出状态下的技术方案。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-14

基于FPGA的FIFO实现,包含testbench,不使用IP核,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:FIFO 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的FIFO实现,包含testbench,不使用IP核》 5.内容:基于FPGA的FIFO实现,包含testbench,不使用IP核。在FPGA上实现FIFO(First In First Out,先进先出)通常涉及使用双端口RAM(或称为BRAM,Block RAM)作为存储介质,以及控制逻辑来管理读写指针和状态标志(如空、满)。通过testbench模拟FIFO写入,读取的过程。 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-07-06

基于遗传算法的多目标优化matlab仿真,输出最优帕累托值,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:遗传算法 4.仿真效果:仿真效果可以参考博客同名文章《基于遗传算法的多目标优化matlab仿真,输出最优帕累托值》 5.内容:基于遗传算法的多目标优化matlab仿真,输出最优帕累托值。基于遗传算法的多目标优化是一种解决具有多个冲突目标函数的优化问题的有效方法。遗传算法(Genetic Algorithm, GA)通过模拟自然选择和遗传学原理,在解空间中搜索问题的最优解。在多目标优化中,目标是找到一组解,这些解在所有目标函数上表现良好,且不存在任何一个目标可以通过牺牲其他目标来进一步改善,这样的解集合被称为帕累托最优解集(Pareto Optimal Set)。帕累托前沿(Pareto Frontier)则是在目标空间中由这些最优解构成的边界。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-06

基于周期模式算法的一维信号相位和周期测量matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:一维信号相位和周期测量 4.仿真效果:仿真效果可以参考博客同名文章《基于周期模式算法的一维信号相位和周期测量matlab仿真》 5.内容:基于周期模式算法的一维信号相位和周期测量matlab仿真。基于周期模式算法的一维信号相位和周期测量,是指从具有周期性特征的一维信号中,准确地识别出信号的重复周期(即周期)以及在该周期内的起始相位。这种测量技术广泛应用于图像处理、信号分析、振动监测、光学测量等领域。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-06

基于强化学习的倒立摆平衡控制算法matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:强化学习 4.仿真效果:仿真效果可以参考博客同名文章《基于强化学习的倒立摆平衡控制算法matlab仿真》 5.内容:基于强化学习的倒立摆平衡控制算法matlab仿真。基于强化学习(Reinforcement Learning, RL)的倒立摆平衡控制算法是一种利用机器学习方法,特别是强化学习框架,来自动学习如何控制倒立摆系统维持平衡状态的技术。倒立摆问题是一个经典的控制问题,其目标是通过控制底座的移动(如加速度或角度变化)来使一个垂直悬挂的小车或者摆杆维持直立不倒。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-07-06

基于FPGA的AM信号解调verilog实现,包括程序,注释,操作步骤

1.版本:quartusii13.01-64bits,modelsim-altera 6.5d,matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:AM信号解调 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的AM信号解调verilog实现》 5.内容:基于FPGA的AM信号解调verilog实现。基于FPGA的AM(调幅)信号解调在Verilog语言中的实现通常涉及到模拟信号的数字化处理,主要步骤包括采样、同步、包络提取等。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。FPGA工程路径要英文。

2024-06-25

单级柔性机械臂的LQR位置控制matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:LQR控制 4.仿真效果:仿真效果可以参考博客同名文章《单级柔性机械臂的LQR位置控制matlab仿真》 5.内容:单级柔性机械臂的LQR位置控制matlab仿真。单级柔性机械臂的LQR(Linear Quadratic Regulator)位置控制是一种利用线性二次型最优控制理论来设计控制器,以实现机械臂末端或某环节位置的精确跟踪和稳定控制的方法。柔性机械臂相较于刚性机械臂,由于存在弹性变形,其动态特性更加复杂,因此在进行位置控制时需要考虑其动力学模型中的弹性因素。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-25

基于Dijkstra最短路径算法的栅格地图避障路线规划matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:栅格地图避障路线规划 4.仿真效果:仿真效果可以参考博客同名文章《基于Dijkstra最短路径算法的栅格地图避障路线规划matlab仿真》 5.内容:基于Dijkstra最短路径算法的栅格地图避障路线规划matlab仿真。基于Dijkstra's最短路径算法的栅格地图避障路线规划是一种常见的路径规划方法,适用于机器人导航、游戏AI等领域。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-25

基于非主导排序遗传算法的多目标优化matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:非主导排序遗传算法 4.仿真效果:仿真效果可以参考博客同名文章《基于非主导排序遗传算法的多目标优化matlab仿真》 5.内容:基于非主导排序遗传算法的多目标优化matlab仿真。非主导排序遗传算法(Non-Dominated Sorting Genetic Algorithm, NSGA)是一种广泛应用于解决多目标优化问题的启发式搜索方法。其核心思想是在每一代种群中识别并保留那些非支配解,即不存在其他解在所有目标函数上同时优于它,同时尽量保持这些解的分布多样性。NSGA经过迭代进化,旨在找到逼近真实Pareto前沿(即不可能同时改善所有目标而不损害至少一个目标的解集合)的解集。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-25

基于LUT查找表方法的正弦信号产生器FPGA实现,包含testbench,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:正弦信号产生器 4.仿真效果:仿真效果可以参考博客同名文章《基于LUT查找表方法的正弦信号产生器FPGA实现,包含testbench》 5.内容:基于LUT查找表方法的正弦信号产生器FPGA实现,包含testbench。查表法的基本思想是预先计算出一个周期内正弦波的离散点值,并将这些值存储在一个查找表(LUT)中。FPGA中的LUT本质上是一种小型的ROM,可以根据输入地址迅速返回预设的数据值。对于正弦波生成,这个地址通常是根据输入的角度或者时钟计数来决定的。ROM模块使用verilog编程实现,因此可以移植到quartusii平台 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-06-19

基于simulink的SEDC励磁阻尼控制系统建模与仿真,包括simulink模型,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:simulink模型,注释,参考文献,操作步骤(使用windows media player播放)。 3.领域:励磁阻尼控制 4.仿真效果:仿真效果可以参考博客同名文章《基于simulink的SEDC励磁阻尼控制系统建模与仿真》 5.内容:基于simulink的SEDC励磁阻尼控制系统建模与仿真。SEDC,即Supplementary Excitation Damping Controller,补充励磁阻尼控制器,是一种专门设计用于电力系统中同步发电机的控制系统。它的主要功能是增强发电机的动态稳定性,特别是针对低频振荡(如电力系统的机电振荡)和瞬态过电压等问题。励磁阻尼控制通过调节发电机的励磁电流,改变发电机磁场的特性,进而影响发电机的电磁反应,达到抑制系统振荡和稳定电压的目的。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-19

基于龙格库塔算法的偏微分方程求解matlab仿真,包括程序,注释,参考文献,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,参考文献,仿真操作步骤(使用windows media player播放)。 3.领域:偏微分方程求解 4.仿真效果:仿真效果可以参考博客同名文章《基于龙格库塔算法的偏微分方程求解matlab仿真》 5.内容:基于龙格库塔算法的偏微分方程求解matlab仿真。偏微分方程(PDE)的求解通常比常微分方程(ODE)更为复杂,因为PDE涉及到多个自变量的变化。龙格-库塔方法作为一种数值积分方法,主要用于解决一阶或更高阶的常微分方程初值问题,直接应用于偏微分方程并不适用。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-19

基于强化学习的非平稳学习回报最大化matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:强化学习 4.仿真效果:仿真效果可以参考博客同名文章《基于强化学习的非平稳学习回报最大化matlab仿真》 5.内容:基于强化学习的非平稳学习回报最大化matlab仿真。非平稳环境下的强化学习(Reinforcement Learning, RL)挑战在于,环境的动力学特性(例如奖励函数或状态转移概率)随时间变化,这要求学习算法不仅要适应当前环境,还要持续学习以应对未来的变动。最大化非平稳环境中的学习回报,关键在于设计或选择能够灵活适应变化、快速学习和泛化的策略与算法。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-13

基于PI控制的电动车建模与性能分析matlab仿真,包括程序/simulink模型,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序/simulink模型,注释,操作步骤(使用windows media player播放)。 3.领域:电动车 4.仿真效果:仿真效果可以参考博客同名文章《基于PI控制的电动车建模与性能分析matlab仿真》 5.内容:基于PI控制的电动车建模与性能分析matlab仿真。基于PI控制的电动车模型主要涉及到两个部分:电动车的动力学模型和控制器的设计。PI控制器(比例-积分控制器)因其简单且有效的特性,在车辆控制工程中被广泛应用。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-13

基于二自由度的半主动悬架控制系统matlab建模与仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:半主动悬架控制系统 4.仿真效果:仿真效果可以参考博客同名文章《基于二自由度的半主动悬架控制系统matlab建模与仿真》 5.内容:基于二自由度的半主动悬架控制系统matlab建模与仿真。基于二自由度的半主动悬架控制系统是一种旨在改善汽车行驶平顺性和操纵稳定性的控制系统。这种系统通过实时调节悬架阻尼力,以适应不同路况和驾驶条件,减少车身振动和冲击,同时确保车辆稳定性。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-11

基于FPGA的占空比可配置的PWM脉宽调制方波产生器Verilog实现,包含testbench,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:PWM脉宽调制方波产生器 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的占空比可配置的PWM脉宽调制方波产生器Verilog实现,包含testbench》 5.内容:基于FPGA的占空比可配置的PWM脉宽调制方波产生器Verilog实现,包含testbench。占空比(Duty Cycle)定义为PWM信号高电平时间与总周期时间的比率,通常以百分比表示。例如,占空比为70%意味着在一个完整的周期内,信号有70%的时间处于高电平状态,剩余30%处于低电平。 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-06-11

基于FPGA的可编程方波发生器verilog实现,包含testbench,包括程序,注释,操作步骤

1.版本:vivado2019.2。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:可编程方波发生器 4.仿真效果:仿真效果可以参考博客同名文章《基于FPGA的可编程方波发生器verilog实现,包含testbench》 5.内容:基于FPGA的可编程方波发生器verilog实现,包含testbench。通过可变的开启(逻辑1)和关闭(逻辑0)间隔。间隔的持续时间为由两个4位控制信号指定。开启和关闭间隔分别为m*100ns和n*100ns。 6.注意事项:路径必须是英文路径,路径的字母长度个数必须小于148.

2024-06-11

分别通过BP神经网络和GRNN广义回归神经网络对电力负荷数据进行训练和预测matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,注释,操作步骤(使用windows media player播放)。 3.领域:电力负荷预测 4.仿真效果:仿真效果可以参考博客同名文章《分别通过BP神经网络和GRNN广义回归神经网络对电力负荷数据进行训练和预测matlab仿真》 5.内容:分别通过BP神经网络和GRNN广义回归神经网络对电力负荷数据进行训练和预测matlab仿真。在电力负荷预测中,BP神经网络(Back Propagation Neural Network)和广义回归神经网络(Generalized Regression Neural Network,简称GRNN)都是常用的预测模型。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-09

基于图像纹理特征提取的图像分割算法matlab仿真,包括程序,注释,操作步骤

1.版本:matlab2022A。 2.包含:程序,中文注释,仿真操作步骤(使用windows media player播放)。 3.领域:图像分割 4.仿真效果:仿真效果可以参考博客同名文章《基于图像纹理特征提取的图像分割算法matlab仿真》 5.内容:基于图像纹理特征提取的图像分割算法matlab仿真。图像分割是计算机视觉和图像处理领域中的一个基本问题,旨在将图像划分为多个互不相交的区域,这些区域在某些特性上(如颜色、亮度、纹理等)相对一致。基于图像纹理特征的分割算法利用了图像中像素之间的空间关系和结构模式,这些特征能够有效地反映出不同区域间的差异性。 6.注意事项:注意MATLAB左侧当前文件夹路径,必须是程序所在文件夹位置,具体可以参考视频录。

2024-06-09

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除