Vivado ILA开始捕捉触发后依然处于IDLE状态,不进入waiting for trigger状态解决办法

在使用Vivado的ILA时,点击了“run trigger”后ILA依然处于IDLE状态,此时应该首先尝试降低JTAG频率,确保ILA的时钟频率大于或等于JTAG频率的2倍。

在Xilinx论坛我还看到了有人说:如果你添加了新的ILA观测信号,在implementation运行后,应该确认.itx文件是否被更新,并且在你添加新的ILA观测信号前,还需要尝试删除*.wdb文件和*wcfg文件,然后再走后面的流程。不过这个我还没有尝试过,因为我降低了JTAG频率ILA就可以抓信号了。

当然如果添加了新的观测信号,当然要确定这些信号后面是和ILA连接的。

希望对你们有帮助。

  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
根据引用\[1\]中的描述,您在Vivado中无法正确触发ILA并显示波形。这可能是由于缺少时钟触发信号导致的。特别是在使用了PLL产生的时钟时,可能会出现复位信号错误,从而导致PLL的输出时钟不存在。解决这个问题的方法是确保时钟触发信号存在,并且正确配置了复位信号。您可以尝试按照以下步骤解决问题: 1. 关闭Vivado中的硬件管理器和目标。 2. 打开Vivado硬件管理器,然后打开新的目标。 3. 确保您的设计中存在时钟触发信号,并且已正确配置复位信号。 4. 重新烧写程序并运行ILA。 如果问题仍然存在,您可以尝试检查时钟和复位信号的连接是否正确,并确保时钟触发信号的产生条件已满足。如果问题仍然无法解决,您可能需要进一步检查设计和配置是否正确。 #### 引用[.reference_title] - *1* [Vivado ILA无法触发,点Stop Trigger提示There are no armed ILAs](https://blog.csdn.net/weixin_42837669/article/details/115048393)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [【vivadoILA调试报错 The debug hub core was not detected 以及 Data read from hw_ila [hw_ila_1] is ...](https://blog.csdn.net/yundanfengqing_nuc/article/details/107859985)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [vivado 在线逻辑分析仪 ila 无法打开的原因](https://blog.csdn.net/m0_46295742/article/details/124987472)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值