加速vivado编译工程

  • 系统环境:windows11
  • IDE环境:vivado2023.2
  • 工程:vivado自带的example project(wave_gen)

Vivado支持多线程,可进一步缩短编译时间,这需要通过如下的Tcl脚本进行设置。综合阶段,Vivado可支持的最大线程数为4。布局布线阶段,可支持的最大线程数为8。

通过 get_param general.maxThreads 可知当前工程使用的线程数,如下图所示,Windows系统的最大线程数默认值为2。

set_param general.maxThreads
生成bitstream,观察整个流程的耗时。
运行时间
编写TCL脚本,并且在vivado中分别设置在综合前使用和实现前使用(tcl.pre)

# set_threads.tcl
set_param general.maxThreads 4

tcl.pre
tcl.pre
生成bitstream,观察整个流程的耗时。
运行时间
可能是因为工程小的原因,可以看出提升并不大,下次在大工程中试试。

  • 4
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值