Vivado [Place 30-574]

在Vivado15.2上设计一个简单的钟控D触发器,虽然用到了Clk,但是并不打算使用板子上的晶振,而是用开关手动获得上升沿或下降沿。在实现时,遇到了[Place 30-574]这个错误,错误提示如下所示:

[Place 30-574] Poor placement for routing between an IO pin and BUFG. 
If this sub optimal condition is acceptable for this design, 
you may use the CLOCK_DEDICATED_ROUTE 
constraint in the .xdc file to demote this message to a WARNING. 
However, the use of this override is highly discouraged. 
These examples can be used directly in the .xdc file to override this clock rule.
< set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Clk_IBUF] >

解决问题的步骤如下:

  1. 复制set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Clk_IBUF]到约束文件。
  2. 将时钟端口的名称修改为自己设计中的名称。在这里插入图片描述
  3. 再次进行综合、实现,不会再报错。
  • 7
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值