参数化的interface array的例化及虚接口传递

在验证环境中,某个UVC常常需要多次例化,对应的interface也要多次例化。那么如何定义一个interface的数组以及接口配置呢?可以参考如下示例:

parameter logic[7:0] data_width[`NUM_OF_IF] = {16,32,16,8,16};               // inst. an array of data_width per each interfaces. Max value can be 255 (8 bits).
 
generate
     for(genvar i=0; i<`NUM_OF_IF; i++) begin
         vpsc_internal_if  #(.DW(data_width[i])) vpsc_inst (.clk(clk), .reset_n(rst_n));    
 
         initial begin 
             uvm_config_db #(virtual vpsc_internal_if  #(.DW(data_width[i])) )::set(null, "uvm_test_top.env", $sformatf("vpsc_internal_vif_%0d", i), vpsc_inst);
             // set each interface to its fit virtual interface with config_db
         end //initial
     end //for
endgenerate

我们对以上代码做点改动,在for循环中增加虚接口定义和赋值,如下:

parameter logic[7:0] data_width[`NUM_OF_IF] = {16,32,16,8,16};               // inst. an array of data_width per each interfaces. Max value can be 255 (8 bits).
 
generate
     for(genvar i=0; i<`NUM_OF_IF; i++) begin
         vpsc_internal_if  #(.DW(data_width[i])) vpsc_inst (.clk(clk), .reset_n(rst_n));    
 		 virtual psc_internal_if  #(.DW(data_width[i])) vpsc_internal_vif ;
 		 vpsc_internal_vif = vpsc_inst ;
 		 
         initial begin 
             uvm_config_db #(virtual vpsc_internal_if  #(.DW(data_width[i])) )::set(null, "uvm_test_top.env", $sformatf("vpsc_internal_vif_%0d", i), vpsc_inst);
             // set each interface to its fit virtual interface with config_db
         end //initial
     end //for
endgenerate

编译时会报错:vpsc_internal_vif = vpsc_inst ;

修改后编译通过:

parameter logic[7:0] data_width[`NUM_OF_IF] = {16,32,16,8,16};               // inst. an array of data_width per each interfaces. Max value can be 255 (8 bits).
 
generate
     for(genvar i=0; i<`NUM_OF_IF; i++) begin
         vpsc_internal_if  #(.DW(data_width[i])) vpsc_inst (.clk(clk), .reset_n(rst_n));    
 		 virtual psc_internal_if  #(.DW(data_width[i])) vpsc_internal_vif = vpsc_inst ;
 		 
         initial begin 
             uvm_config_db #(virtual vpsc_internal_if  #(.DW(data_width[i])) )::set(null, "uvm_test_top.env", $sformatf("vpsc_internal_vif_%0d", i), vpsc_inst);
             // set each interface to its fit virtual interface with config_db
         end //initial
     end //for
endgenerate

注意:

  1. parameter的赋值只能来自其他的parameter
  2. 虚接口的参数配置需要和真实接口的参数配置一致
  3. 模块或接口实例的数组不能被视为常规数组(regular arrays),因为参数化、生成块和defparam语句(parameterization, generate blocks, and defparam statements )会使数组实例的元素不唯一。对于arrays of variables/wires,这是不可能发生的。

参考资料:

  1. https://verificationacademy.com/forums/uvm/array-interfaces-systemverilog-parameters-how-create-array-and-set-it-configdb-virtual-interaces-array
  2. https://forums.accellera.org/topic/6841-array-of-systemverilog-interface/
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

sunvally

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值