FPGA学习日记(19)--IP核

 

module pll
(   
    input     wire   sys_clk     ,
    output    wire   clk_mul_2   ,
    output    wire   clk_div     ,
    output    wire   clk_pha_90  ,
    output    wire   clk_duc_20  ,
    output    wire   locked     
);                    
pll_ip	pll_ip_inst
(
	.inclk0 ( sys_clk    ),
	.c0     ( clk_mul_2  ),
	.c1     ( clk_div    ),
	.c2     ( clk_pha_90 ),
	.c3     ( clk_duc_20 ),
	.locked ( locked     )
);
endmodule
`timescale 1ns/1ns
module tb_pll();

reg sys_clk ;


 wire   clk_mul_2   ;
 wire   clk_div     ;
 wire   clk_pha_90  ;
 wire   clk_duc_20  ;
 wire   locked      ;

initial 
    begin 
        sys_clk =1'b1;

    end
 
always #10 sys_clk = ~sys_clk;

pll pll_inst
(   
   .sys_clk    (sys_clk   ) ,
   .clk_mul_2  (clk_mul_2 ) ,
   .clk_div    (clk_div   ) ,
   .clk_pha_90 (clk_pha_90) ,
   .clk_duc_20 (clk_duc_20) ,
   .locked     (locked    )
);  
endmodule

时钟信号50Mhz

c0 二倍频 100Mhz

 

c1 二分频 25Mhz 

 5ns=0.25时钟周期 偏移90度 延迟5ns等于偏移90度

 c3 50MHz  20ns

 高电平保持时间4ns  占空比20%

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值