补发 FPGA学习日记(4) 半加器

module half_addr

module half_addr
(
    input  wire in1,
    input  wire in2,
    output reg sum,
    output reg count
);

always@(*)
    case({in1,in2})
        2'b00:begin sum <=0;count<=0;   end
        2'b01:begin sum <=1;count<=0;   end
        2'b10:begin sum <=1; count<=0;  end
        2'b11:begin sum <=0; count<=1;  end
        default:begin sum <=0;count<=0; end
    endcase

//assign{count,sum} = in1 + in2;

endmodule

 tb_module

`timescale 1ns/1ns
module tb_half_addr

reg in1;
reg in2;
wire sum;
wire count;

initial
    begin
        in1 <= 1'b0;
        in2 <= 1'b0;
    end

always #10 in1 <={$random}%2;
always #10 in2 <={$random}%2;


initial
    begin
        $timeformat(-9,0,"ns");
        $monitor("@time %t:in1= %b,in2 = %b,sum=%b,count=%b",$time,in1,in2,sum,count);
    end

half_addr half_addr_inst
(
    .in1(in1),
    .in2(in2),
    .sum(sum),
    .count(count)
);
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值