Verilog数据拼接与拆分

1. Verilog中数据拼接,使用拼接运算符

例如:

reg [15:0]  regA;
reg[7:0] regB = 8'd12;
reg[7:0] regC = 8'd34;

regA <= {regB ,regC };          //把regB和regC拼接成regA。
2.Verilog中数据拆分

例如:

wire[15:0]    A; wire[7:0]  B; wire[7:0]  C;
assign   B = A [15:8];
assign   C = A [7:0];                 //就是把高8位和低8位拆分输出
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值