Verilog 赋值

赋值语句

连续赋值assign

针对wire,连线的值时会随着它的驱动源的变化而立刻变化。

过程语句always

针对reg型,
基本格式

always@(敏感信号条件表) 
各类顺序语句;//always里的语句是顺序执行的
always @(a or b)  //a,b也可
always @ (*)
always @(posedge clock or posedge reset)  

1是电平触发,在高或低电平保持的时间内触发,组合电路。
2 是组合逻辑电路的描述方式;为了防止考虑不周全带来一些操作失误,用*表示全部

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值