Verilog实现时钟分频

把图补上来

普通奇数分频

当不要求分频50%占空比时,对输入时钟clk上升沿计数,可以设置两个计数的翻转点,一个是(N-1)/2,一个是(N-1),计数到(N-1)时同时将计数器清零。

module clk_div(
input clk,rst_n,
output reg clk_o
);

reg [1:0] cnt;
always@(posedge clk or negedge rst_n) begin
	if(!rst_n) cnt<=0;
	else if(cnt==2) cnt<=0;
	else cnt <= cnt +1'b1;
end

always@(posedge clk or negedge rst_n
  • 2
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值