Verilog实现时钟分频

本文介绍了如何使用Verilog实现时钟分频,包括普通奇数分频和50%占空比奇数分频的方法。在普通奇数分频中,通过在(N-1)/2和(N-1)时钟点翻转计数器实现;而在50%占空比分频中,分别对上升沿和下降沿计数,并通过“或”运算得到50%占空比的时钟信号。
摘要由CSDN通过智能技术生成

把图补上来

普通奇数分频

当不要求分频50%占空比时,对输入时钟clk上升沿计数,可以设置两个计数的翻转点,一个是(N-1)/2,一个是(N-1),计数到(N-1)时同时将计数器清零。

module clk_div(
input clk,rst_n,
output reg clk_o
);

reg [1:0] cnt;
always@(posedge clk or negedge rst_n) begin
	if(!rst_n) cnt<=0;
	else if(cnt==2) cnt<=0;
	else cnt <= cnt +1'b1;
end

always@(posedge clk or negedge rst_n
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值