Verilog变量位宽定义的两种方式及差别和可能引起的错误

Verilog一定位宽的数据定义

        方式一:

        Verilog的位宽定义一般如下所示:

        如:reg[7:0] in,代表定义一个8位长度的变量in;

 

        方式二:

        在写代码的过程中不小心写成了reg [7]in(少写了“:0”),编译器没有报错。

        差别此时变成了长度为7的变量in。

        可能引起的错误不小心写成这样可能导致数据位宽不对而产生问题。

 

  • 2
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值