(10)ISE约束文件UCF与Vivado 约束文件XDC(FPGA不积跬步101)

54 篇文章 18 订阅 ¥99.90 ¥99.00

名言:内心驱动自己做正确的事情,心流控制你的行为。

1 引言

笔者最近做一个项目使用FPGA芯片为Xilinx spartan6,开发软件是ISE14.7。之前开发使用的芯片为Xilinx 7系列FPGA,有A7、K7、V7、Z7、K7U+等,开发软件使用vivado。现在使用ISE14.7开发还是有点不习惯,这里主要说明一下ISE约束文件UCF和vivado约束XDC区别。

2 约束文件xdc用法

这里只说明物理IO约束和时钟约束,vivado约束文件为top.xdc,使用方法如下:
物理IO约束如下:(包括引脚约束和电平约束)
set_property PACKAGE_PIN G20 [get_ports {sysclk}]
set_property IOSTANDARD LVCMOS33 [get_ports {sysclk}]
set_property PACKAGE_PIN J19  [get_ports {led}]
set_property IOSTANDARD LVCMOS33 [get_ports {led}]
时钟约束如下:(#20ns周期,50%占空比,无相移)
create_clock -period 20 [get_ports sysclk]  

3 约束文件ucf用法

这里只说明物理IO约束和时钟约束,vivado约束文件为top.xdc,使用方法如下:
物理IO约束如下:(包括引脚约束和电平约束)
#system_clk

  • 2
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

宁静致远dream

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值