(16)ZYNQ FPGA AXI4-stream DATA FIFO IP核(学无止境)

57 篇文章 20 订阅 ¥99.90 ¥99.00

1 应用领域

AXI4-stream DATA FIFO主要是PS与PL交互数据时使用。

2 AXI4-stream DATA FIFO IP核

FIFO如图1所示。


图1 

3 AXI4-stream DATA FIFO IP核配置

Component Name:器件名字。
FIFO depth:FIFO深度。
Enable packet mode:使能包模式
Asynchronous Clocks:异步时钟
Synchronization Stages across Cross Clock Domain Logic:一般默认即可。
ACLKEN Conversion Mode:选择ACLKEN信号的转换模式。
FIFO接口配置如下:
TDATA width:数据位宽
Enable TSTRB:使能控制
Enable TLAST:使能控制
TID width (bits):位宽设置
TDEST width (bits):位宽设置
TUSER Width (bits):位宽设置

4 AXI4-stream DATA FIFO 接口信号
 
M_AXIS_tdata:数据
M_AXIS_tkeep:数据有效位
M_AXIS_tlast:最后一个数据有效
M_AXIS_tvalid:数据有效
S_AXIS_tready:空闲状态

5 结束语

希望对你有帮助,如果遇到问题,可以一起沟通讨论,邮箱:jhqwy888@163.com。

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

宁静致远dream

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值