Verilog学习笔记(1)Modelsim仿真_反相器_与非门

1.Verilog设计流程

代码设计→代码仿真→FPGA验证→ASIC实现

2.基本逻辑门代码设计和仿真

2.1 反相器

代码:

module inv ( A , Y );

input A;
output Y;

assign    Y=~A; //取反运算

endmodule

反相器Test bench:

`timescale 1ns/10ps  //1ns为时间单位,10ps的精度


module inv_tb;

reg     aa;//定义输入
wire    yy;//定义输出

inv    inv(
            .A(aa),//A的值来源于aa
            .Y(yy)//Y的值来源于yy
            );

initial begin
                aa<=0;
           #10  aa<=1;
           #10  aa<=0;
           #10  aa<=1;
end

endmodule

打开modelsim写入编辑好的代码和test bench。Modelsim的使用方法参考这位大大写的文章:

  • 1
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值