【Verilog】Verilog定义二维数组(2D Array)

目录

 

定义的种类

第一种

赋值方法

第二种

赋值方法

第三种

赋值方法


 

定义的种类

首先看几组定义类型

第一种

定义一个位宽为8的 data1(reg) 和 data2(wire) 的变量。

reg     [7:0]    data1;
wire    [7:0]    data2;

赋值方法

如果要对其进行赋值等操作,可以用 always 块进行赋值,或者利用 assign 语句。

第二种

定义一个一维数组

将内存定义为一个 reg 类型的一维数组,这个数组中的任何一个单元都可以通过一个下标去访问。这样的数组的定义方式如下:

reg    [7:0]    data  [255:0];

其中 [7:0] 表示一维数组中的每个元素的位宽大小,而在变量后面的 [255:0] ,表示的却不是位宽大小,它表示的是所创建的数组的深度,也就是一维数组中的元素大小,也可以称作为数组的容量大小。

赋值方法

直接访问数组中元素的下标即可,下面的代码表示的是将数字1赋值到一维数组中的第一个元素。

reg    [7:0]    data  [255:0];

data[0] = 1;

第三种

定义一个二维数组,也可以说是一种向量。

这种定义方式比较少见,因为一般在Verilog代码不会涉及到二维数组的定义,这种定义方法和C语言很类似,它所表达的含义也是向内存取得一块行256、列256,每个位宽为8的二维数组,这种定义方法是我在做矩阵运算的时候发现的,这样定义一个二维数组就可以将矩阵中的数值映射到二维数组的每一个空间中,从另一方面来看,矩阵也可以看做成一个二维数组。

reg    [7:0]    data  [255:0] [255:0];

赋值方法

错误方法:

reg    [7:0]    data  [255:0] [255:0];

assign data[0] = 1;

这样赋值会报错。

首先,不能用 assign 对 reg 型的赋值。assign 类型针对于 wire 类型,而不是 reg 类型。要对一个reg 类型进行操作,需要在一个逻辑块内的语句像always块一样。

正确方法:

reg [7:0] b [0:3] [0:3];

initial begin

// using 2D
for (int i=0; i<=3; i++)
for (int j=0; j<=3; j++)
b[i][j] = i*j;
end

 

Verilog中的二维数组截位是通过指定数组的索引范围来实现的。在引用中提到的例子中,二维数组data的定义为reg [7:0] data [255:0] [255:0],其中[7:0]表示每个元素的位宽为8位。我们可以通过指定索引的范围来截取数组中的部分数据。 例如,如果我们要截取data数组中的一个元素的低4位,可以使用下面的方式: data[i][j][3:0] 其中i和j为数组的索引。通过指定[3:0]表示我们只取出该元素的低4位。 另外,在引用中提到的例子中,如果我们要截取data1中的一个变量的高2位,可以使用下面的方式: data1[7:6] 同样地,我们可以通过指定[7:6]来截取data1变量的高2位。 总之,Verilog中的二维数组截位可以通过指定索引范围来实现,用于取出数组中的部分数据。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [Verilog原码二位乘法器](https://download.csdn.net/download/qq_42334072/12389931)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [【VerilogVerilog定义二维数组2D Array)](https://blog.csdn.net/m0_61298445/article/details/123857581)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Linest-5

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值