Verilog中奇偶校验位的计算方法

偶校验:
数据和校验位中1的总数为偶数,则认为数据无误,否则标识数据有误;
奇校验:
数据和校验位中1的总数为奇数,则认为数据无误,否则标识数据有误;

奇偶校验位的计算方法:

module parity_check(
    input           clk,
    input           rst_n,
    input   [7:0]   data_in,
    output  reg     even_bit,
    output  reg     odd_bit
    );
    
    always@(posedge clk or negedge rst_n)
    begin
        if(!rst_n)
            begin
                even_bit <= 1'b0;
                odd_bit  <= 1'b0;
            end
        else
            begin
                even_bit <= ^data_in;   //偶校验需求下计算出来的校验位
                odd_bit  <= !(^data_in);//奇校验需求下计算出来的校验位
            end
    end
    
endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值