QuestaSim使用Xilinx库的设置

本文档介绍了如何安装QuestaSim 2020.1版,并详细阐述了安装后如何配置Xilinx仿真库,包括在编译库界面设置、修改modelsim.ini文件以及使用vmap命令。通过这些步骤,用户可以成功仿真Xilinx元件。
摘要由CSDN通过智能技术生成

安装QuestaSim

  1. 网上有不少Questa sim的安装包下载,目前最新版是2020.1版的(不知道啥时候改成这种版本升级方法了),安装破解之类的详细看说明,成了之后启动是这个样子的:

启动图
启动好后之后是这个样子的
启动好后的图
2. 这个时候是不能仿真Xilinx元件的,需要先配置Xilinx仿真库
进入方式:
进入图
在编译库界面做这样设置,编译就好了

编译界面图
3.打开modelsim.ini,找到library,添加对Xilinx库的引用,需要啥就填入啥, ver是Verilog仿真库。
打开modelsim.ini

[Library]
secureip = $MODEL_TECH/../xilinx_lib/secureip
unisim = $MODEL_TECH/../xilinx_lib/unisim
simprims_ver = $MODEL_TECH/../xilinx_lib/simprims_ver
std = $MODEL_TECH/../std
ieee = $MODEL_TECH/../ieee
vital2000 = $MODEL_TECH/../vital2000
  1. 再有一种引用方式是在do文件中引入或在 QuestaSim GUI中直接使用vmap命令。
vmap unisim D:/questasim64_2020.1/xilinx_lib/unisim
  1. UG900手册
    更多关于仿真的知识可参考上述手册
  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Questasim 是 Mentor Graphics 公司开发的一款高性能 HDL 仿真器,适用于 Verilog、SystemVerilog 和 VHDL 等硬件描述语言的仿真和验证。以下是 Questasim使用教程: 1. 安装 Questasim 软件 首先需要在 Mentor Graphics 官网注册并下载 Questasim 软件,然后按照安装向导进行安装。安装完成后,需要设置 Questasim 的环境变量,包括 QUARTUS_ROOTDIR 和 QUESTASIM_ROOTDIR。 2. 创建仿真工程 在 Questasim 中创建仿真工程需要先创建一个工作目录,然后在该目录下创建一个新的工程,可以使用以下命令: ``` vlib work vmap work work ``` 其中,vlib 命令用于创建工作,vmap 命令用于将工作映射到一个名为 work 的别名。 3. 添加仿真文件 将需要仿真的 Verilog、SystemVerilog 或 VHDL 文件添加到工程中,可以使用以下命令: ``` vlog -work work <file_name> ``` 其中,vlog 命令用于编译 Verilog、SystemVerilog 或 VHDL 文件,并将编译结果添加到工作中。 4. 设置仿真参数 在进行仿真前需要设置仿真参数,包括仿真时间、仿真精度、仿真时钟等。可以使用以下命令设置仿真时间: ``` vsim -t <sim_time> -L <library_name> -L <library_name> <testbench> ``` 其中,-t 参数用于设置仿真时间,-L 参数用于添加文件,<testbench> 是仿真的顶层模块。 5. 运行仿真 在设置好仿真参数后,可以运行仿真,可以使用以下命令: ``` run -all ``` 该命令会一直运行仿真,直到仿真结束或者手动停止。 以上就是使用 Questasim 进行仿真的基本步骤,需要根据具体的需求进行调整和优化。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值