【Verilog task使用说明】

本文详细介绍了Verilog中task的使用方法,包括任务定义、处理有时序要求的读写操作以及如何确保task在可综合程序中的正确运用。特别强调了全局变量在task中的使用和任务中组合逻辑的重要性。
摘要由CSDN通过智能技术生成

@Verilog task使用说明

1. task

task就是任务,就是用于执行特定功能的可重用代码块,使用任务可以把大的程序模块分解成多个小的模块,可以使程序结构更清晰。

2. 使用task写tb文件

一次在写tb文件的时候,编写测试激励,需要重复去读写寄存器,并且读写使能、地址和数据是有时序要求的,那么这个读写过程就可以写成task重复调用。
在使用task的过程中,遇到一些问题,也会记录下来。

2.1 task 定义

先查找了task如何定义,网上查到如下:

task  <任务名>;     //注意无端口列表
      端口及数据类型声明语句;
      其它语句;
endtask

但是实际上我按照这样定义时,用modesim仿真会报错,根据提示,改成如下:

task <任务名> (
    input 输入端口,     //端口定义
    output 输出端口     
);   
begin
end
endtask

这里的端口定义与使用module定义模块的方式是一样的,如task不需要端口则括号里面为空。
注意调用时端口的顺序要与定义的顺序一致。

2.2 task使用

了解了task的定义,现在开始着手编写里面功能。
我需要的task是去读写程序里的寄存器,有延迟要求,以写寄存器为例,需要控制三个信号:写使能Wren,写地址Addr,写数据Data,需要先给Addr和Data赋值,y延迟100ns之后,Wren拉高50ns。
我第一版是这样写的:

task Write_reg(
    input [15:0] I_dat,
	input [7:0]  I_addr,

	output        O_Wren,
    output [15:0] O_dat,
	output [7:0]  O_addr
    );
begin   
    O_Wren  = 0;
	O_addr  = I_addr;
	O_dat   = I_dat;
	
	#(100);
    O_Wren  = 1;
	O_addr  = I_addr;
	O_dat   = I_dat;
	
	#(50);
    O_Wren  = 0;
	O_addr  = I_addr;
	O_dat   = I_dat;
end
endtask

调用:

reg I_REG_WR_VLD;            //Wren
reg [7:0] I_REG_WRRD_ADDR;   //Addr
reg [15:0] I_REG_WR_DATA;    //data
initial
begin
    Write_reg(16'h000f,8'd1,I_REG_WR_VLD,I_REG_WR_DATA,I_REG_WRRD_ADDR);
end

task内的代码,单独放在initial里面仿真是没问题的,但是使用task的写法后,仿真发现DATA和Addr的数据变了,Wren没有拉高。
去查找了相关问题,原来是task定义的变量是局部变量,在task外是无法使用的,因此仿真只是显示了#(50)后面的运行结果。
如果想用task做出这部分时序,需要将要控制的信号定义为全局变量
修改task如下:

reg I_REG_WR_VLD;            //Wren
reg [7:0] I_REG_WRRD_ADDR;   //Addr
reg [15:0] I_REG_WR_DATA;    //data
//被控制信号定义为全局变量,全局变量可以在task中直接使用
task Write_reg(
    input [15:0] I_dat,
	input [7:0]  I_addr
    );
begin   
    I_REG_WR_VLD     = 0;
	I_REG_WRRD_ADDR  = I_addr;
	I_REG_WR_DATA    = I_addr;
	
	#(100);
    I_REG_WR_VLD     = 1;
	I_REG_WRRD_ADDR  = I_addr;
	I_REG_WR_DATA    = I_dat;

	#(50);
    I_REG_WR_VLD     = 0;
	I_REG_WRRD_ADDR  = I_addr;
	I_REG_WR_DATA    = I_dat;
end
endtask

task调用


initial
begin
    Write_reg(16'h0000,8'd6);
    #(1000)
    Write_reg(16'h0038,8'd6);
end

Modesim结果如图:
在这里插入图片描述

3. 使用task写可综合程序

task要成为可综合的,task本身必须只包含组合逻辑。如果task中包含时序逻辑,那么它就不能被综合。
在编写可以综合的task时,需要注意遵守特定的语法规则和限制,例如,task的第一行不能列出端口名称,任务中不能出现initial或always语句,且任务的输出只能为reg类型。
此外,确保task中的代码本身就是可以综合的也是非常重要的。

  • 4
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog中的task是一种用户自定义的可重用代码块,它可以接受传递的参数和返回值。task可以把复杂的代码分解成更小的可维护的部分。下面是task使用方法: 1. 定义task 使用task可以定义一个用户自定义的代码块,它可以接受传递的参数和返回值。task的语法格式如下: ``` task task_name(input [parameter_range] parameter_name, ...); //task body endtask ``` 其中,task_name为task的名称,parameter_name为task的参数名,parameter_range为参数的位宽和类型,可以是常量、变量或者其他参数。 2. 调用task 使用task时,需要先声明task并传递参数,然后使用task名称进行调用。task的调用格式如下: ``` task_name(parameter_value, ...); ``` 其中,parameter_value是传递给task的参数值。 下面是一个简单的例子,演示如何使用task来实现一个简单的计算器: ``` module calculator( input [7:0] A, input [7:0] B, output [7:0] result ); task add(input [7:0] a, input [7:0] b, output [7:0] sum); sum = a + b; endtask task sub(input [7:0] a, input [7:0] b, output [7:0] diff); diff = a - b; endtask reg [7:0] add_result, sub_result; initial begin add(A, B, add_result); sub(A, B, sub_result); $display("A + B = %d", add_result); $display("A - B = %d", sub_result); end endmodule ``` 在这个例子中,我们定义了两个task,一个用于加法运算,一个用于减法运算。在初始块中,我们调用了这两个task,传递了参数,并把结果存储在两个寄存器中。最后,我们使用$display函数来显示计算结果。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值