[UVM]uvm_config_db and uvm_resource_db

区别:

  1. uvm_config_db extends uvm_resource_db
  2. uvm_config_db如果有多个set,则按照“父获胜,同一层次内最后写入获胜”的顺序,而uvm_resource_db直接按照"最后写入获胜"的顺序。uvm_config_db更便于在test中更改配置(假设在env中已经有配置,而test的级别高于env)。

uvm_config_db用法:

  1. 在top_tb中可以这样写:
uvm_resource_db #(virtual s_dv_ifc)::set("anyobject", "s_dv_ifc", s_dv_if);
uvm_config_db #(virtual b_sw_ifc)::set(null, "uvm_test_top.*" , "b_sw_ifc", b_sw);
  1. 在test及以下层次中,第一个参数应该写this.
  2. 第一个参数和第二个参数连起来就是get的实例的路径.
  • 3
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值